High-resolution maskless lithography

Size: px
Start display at page:

Download "High-resolution maskless lithography"

Transcription

1 High-resolution maskless lithography Kin Foong Chan* Zhiqiang Feng Ren Yang Akihito Ishikawa Wenhui Mei Ball Semiconductor, Incorporated 415 Century Parkway Allen, Texas Abstract. An innovative high-resolution maskless lithography system is designed employing a combination of low- and high-numerical-aperture (NA) projection lens systems along with integrated micro-optics, and using Texas Instruments super video graphic array (SVGA) digital micromirror device (DMD) as the spatial and temporal light modulator. A mercury arc lamp filtered for the G-line ( nm) is used as the light source. Exposure experiments are performed using data extraction and transfer software, and synchronous stage control algorithms derived from a point array scrolling technique. Each exposure scan produces a field width (W) of approximately 8.47 mm with a field length (longitudinal field) limited only by onboard memory capacity. DMD frame rates of up to 5 khz (kframes/s), synchronized to the stage motion, are achievable. In this experiment, TSMR-8970XB10 photoresist (PR), diluted to 3.8 cp with PR thinner is prepared. The PR is spin-coated onto a chromecoated glass substrate to 1.0- m thickness with 0.1- m uniformity. A 0.4- m scan step is used and 27,000 DMD data frames are extracted and transferred to the DMD driver. Results indicate consistent 1.8- m line space (L/S) resolved across the entire field width of 8.47 mm. Given optimized exposure and development conditions, 1.5- m L/S is also observed at certain locations. The potential of this maskless lithography system is substantial; its performance is sufficient for applications in microelectromechanical systems (MEMS), photomasking, high-resolution LCD, high-density printed circuit boards (PCBs), etc. Higher productivity is predicted by a custom H-line ( 405 nm) lens system designed and used in conjunction with a violet diode laser systems and the development of a real-time driver Society of Photo-Optical Instrumentation Engineers. [DOI: / ] Subject terms: exposure; microlens; microlithography; micro-optics; microelectromechanical systems; liquid crystal display; optics; pattern; printed circuit board; photomask; reticle. Paper received Dec. 11, 2002; revised manuscript received Feb. 25, 2003, May 8, 2003, and May 27, 2003; accepted for publication Jun. 13, Introduction Demands in the design and manufacturing of high-density printed circuit boards PCBs, high-definition liquid crystal displays LCDs, microelectromechanical systems MEMS prototyping, biosensor applications, etc., have faltered because of the high costs associated with the making of photomasks or reticles in the lithography process. Especially for research or small-volume production requiring feature sizes in the 1.0- to m range, the costs of photomasking have become logistically unreasonable. As a result, various maskless lithography techniques have recently caught widespread attention. These maskless methods are based on different technologies, utilizing resist nanodroplets, 1 electron beams, 2,3 the atomic force microscopy AFM -based technique, 4 direct extreme ultraviolet EUV or laser writing, 5,6 or incorporating various types of spatial light modulators and optical element However, *Present address: Reliant Technologies, Inc., Palo Alto, California. kchan@reliant-tech.com. Present address: Louisiana State University, Baton Rouge, Louisiana. ryang1@lsu.edu. most of these maskless techniques are highly inefficient i.e., slow exposure time, light efficiency, or are not able to achieve sufficiently small feature size i.e., line space L/S 20 m. Currently, none of these technologies possess a combination of sufficient throughput or productivity, optical efficiency, feature size, and cost efficiency to be commercialized. Wang and Bokor 1 attempted to directly deposit photoresist droplets on wafers or substrates by microfabricating a thermal bimembrane actuator. A 6- m-scale drop was recently reported, but this technology seems far from maturity. Droplet generation was highly irregular and required more in-depth investigation. Chen et al. 6 produced array nanomirrors intended for the design of an EUV maskless lithography system. However, this modulating device is still in the early stage of characterization and refinement, and not yet available for integration with a complete optical system for lithographic experimentation. Electron-beam lithography 2,3 has recently garnered attention because of the limitations of conventional optical lithography on feature sizes less than 65 nm. Ware 3 reported an electron projection lithography EPL system comprising of a 2-D e-beam columns, covering a total exposure area of mm 2. Despite having a longer JM 3 2(4) (October 2003) /2003/$ Society of Photo-Optical Instrumentation Engineers 331

2 depth of focus than that of optical lithography, the penetration depth of an e-beam is usually shorter and hence not conducive for use on thick resists. Additionally, the exposure area is too small for large exposure areas in the hundreds of square millimeter range. A scanning probe lithography SPL technique based 4 on AFM produced a larger exposure area of 100 mm 2. This technique employs a 1-D array of 50 cantilevered tips, spaced at 200- m intervals, to obtain patterns at 26-nm line width on polymethyl methacrylate PMMA at a scan speed of 10 m/s. This technology is still in the development stage and is likely to be limited by the scan rate. However, because of the extremely small feature size it is capable of producing, it offers great potential. At the expense of small feature size, maskless lithography systems designed with higher throughput in mind have been demonstrated. Takahashi and Setoyama 8 showed 50- m feature size on a 1.2- m negative photoresist layer through direct projection of a Texas Instruments TI digital micromirror device DMD -generated pattern. Seltmann et al., 7 using a custom-designed spatial light modulator SLM similar to TI s DMD, produced 0.6- m L/S features covering an image field of m. This was done by projection through a 100:1 reduction lens having an Excimer laser ( 308 nm) as the light source. The authors employed a step and stitch method on a 4-in. wafer and estimated a throughput of 1 wafer/h. Carter et al. 9 and Gil et al. 10 demonstrated another maskless technique termed zone-plate-array lithography ZPAL. This lithographic technique used an array of Fresnel zone plates with very high numerical aperture (NA 0.95), and the researchers succeeded in producing submicrometer feature sizes reported to be 360 nm. However, it has extremely low throughput, covering only an area of 0.25 cm 2 over a period of 20 min. We have developed maskless lithography systems offering minimum feature sizes at optimized exposure conditions approaching 20, 10, and 1.5- m L/S. Productivity can be as fast as 20 mm/s for the equivalent of an area of mm over 150 s of exposure time, depending on the systems and the illumination intensity of the laser light source. Fig. 1 Illustration of the Hi-Res MLS. Four diagrams on the right (from top to bottom) describe the appearance of the DMD, the DMD inverted image coplanar with the MLA back plane, the MLA foci coplanar with the spatial filter array, and the point array projected to the substrate. These diagrams are not adjusted for image magnification or reduction. 1.1 High-Resolution Maskless Lithography System The high-resolution maskless lithography system 11,12 Hi- Res MLS consists of two major subassemblies. First, the DMD field image is projected onto an integrated optical diffractive element using a low-na 1:3 in actuality 1:2.94 magnifying projection lens (NA 0.12). The focal plane of the diffractive element becomes the second object plane. The second lens assembly consists of a high-na 5:1 reduction lens (NA 0.5), which serves to project the focal points point array from the second object plane onto the substrate. Figure 1 shows a block diagram of the lithography system. The light source is provided through an optical fiber bundle or liquid waveguide to a diffuser and a line filter if necessary, after which it is collimated before it is reflected to the DMD surface with a UV-enhanced mirror. The super video graphic array SVGA array DMD, having a pitch size of 17 m, was a product of TI. The micromirrors on the DMD can be instructed to produce a pattern by way of tilting them 10 deg off their normal position. Light reflected off the micromirrors is projected into the low-na 1:3 magnifying lens, forming a DMD image coplanar to the back plane of the integrated microlens and spatial filter array 13,14 MLSFA. This is the first image plane where the individual DMD pixel image is focused by the corresponding microlens onto a second surface coplanar with the spatial filter array. Perfectly designed microlens array foci are diffraction-limited to about 3 m. In practice, the foci or focal points are approximately 5 m. The microlens array MLA foci serve as the second object plane for the high-na 5:1 reduction lens, imaged on to the substrate as a point array to perform lithography on photosensitive materials. Background illumination due to optical scattering or noise, crosstalk, high-spatial-frequency components, as well as imperfect focusing by the microlens array are eliminated at the foci with a corresponding array of spatial filters. This array filtering technique 12,14 increases the system contrast and produces better lithographic results. With the 5:1 reduction lens, the focal points or point array carrying the DMD pattern is projected onto the surface of the substrate. Since the DMD pixel images are focused into tiny dots point array by the MLSFA, they are disjointed during each exposure data frame. Hence, a point array technique 11,12,15 having unique formulations of overlaying array of dots is used to link lines and other patterns by flipping the DMD micromirrors at very high frame rates up to 5000 frames/s while moving the substrate on the stage. As indicated in Fig. 2, this technique requires the DMD field to be rotated at a small angle relative to the scanning 332 J. Microlith., Microfab., Microsyst., Vol. 2 No. 4, October 2003

3 the scanning direction coincides with four-point arrays, indicating a K value of 4. Given N 20 in Fig. 2, N/K 5, and hence To expose one uninterrupted nondisconnected line orthogonal to the scanning direction, the minimum horizontal separation x among the point array must be small enough given a finite point array size or diameter w Fig. 2, where x w. Thus, as long as x w, the minimum horizontal separation x is usually chosen as at least 20% of the smallest feature size a lithography system is capable of producing. For example, if the lithography system is expected to produce 2- m L/S features, then x 0.4 m. The minimum horizontal separation determines the horizontal overlaying density of the point array, shown in Fig. 2 as x d sin, 2 Fig. 2 Illustration of the point array technique. Each data frame of point array or DMD micromirrors is turned on according to the data extraction algorithm, given a set of input parameters such as the K value and the scan step, where K is the number or repetition of point array exactly overlapping one another at a coordinate position along a line drawn parallel to the scanning direction, d is the pitch size of the point array, is the discrete rotational angle, w is the point array size, x is the minimum horizontal separation, M is the number of point array pixels in the long axis, and N is the number of point array pixels in the short axis. A virtual row is added beyond the DMD field dimensions along the short axis to facilitate computation, resulting in N N 1, and thus K K 1. Note that the expression K K 1 is true only if N/K is a positive integer with an M N array larger than 1 1. In this case, K 4, highlighted with black dots to indicate four-point array repetition along the scanning direction. In this figure, for illustration purpose only, N 20 and K 4 (K 5), or N/K 5, hence, direction of the moving stage or substrate. A K value determines the discrete rotational angle. This relation can be written as K arcsin 2 2 1/2 N 2, 1 K where N is the number of point arrays along the axis having the smallest incidence angle to the stage scanning direction, as shown in Fig. 2; is termed the discrete rotational angle because for reasons of convenience in handling frame data extraction, we have required that N/K be a positive integer, hence restricting to certain discrete values; and K is the K value defined as the number or repetition of point arrays exactly overlapping one another at a coordinate position along a line drawn parallel to the scanning direction. For instance in Fig. 2, each virtual line drawn in parallel with where d is the point array pitch size, and is the discrete rotational angle. Equation 2 indicates that x influences the selection of K value through. In addition, a stage step-size or scan step during lithographic exposure along the scanning direction must also be smaller than the expected performance of the smallest feature size the lithography system is capable of. For example, if the lithography system is expected to produce 2- m L/S features, then a stage scan step of at least 20% of the feature, or 0.4 m, is recommended. Scan step determines the vertical overlaying density of the point array along the stage scanning direction, and each scan step is synchronized to its corresponding DMD frame data. The horizontal separation x and scan step of at least 20% or 20% of feature size is a criterion that was determined experimentally. Through our observation, this criterion resulted in feature sizes that are 10% of the intended pattern. For example, a 1.5- m L/S design pattern will result in a m line/1.35- m space or m line/1.65- m space on the substrate, which we deem acceptable. In our experimental setup, the Hi-Res MLS has a finite point array size w of approximately 1.0 m the MLSFA foci are 5.0 m, which are reduced by the 5:1 lens to point array sizes of 1.0 m, and a point array pitch size d of 10.0 m the DMD pitch size is 17 m, expanded to 50.0 m by the 1:2.94 lens, and then reduced to 10.0 m by the 5:1 lens. Assuming that the Hi-Res MLS can resolve at least a 2.0- m L/S feature, we set x to 0.4 m using the 20% rule, which also satisfies the criterion x w. From Eq. 2, we see that sin The TI SVGA DMD has micromirrors; N is 600. When N and are substituted into Eq. 1, we obtained K Since N/K must be a positive integer, we selected K 20 for convenience of data extraction, and thus N/K 30. Using Eq. 1 again, becomes deg. The final minimum horizontal separation x is now m. Because the Hi-Res MLS stage has a precision step size of 100 nm, it is prudent to set our scan step at 0.4 m while noting the slight difference in overlaying density between the horizontal/orthogonal and vertical/scanning directions. To facilitate data extraction, and synchronization between frame data and stage motion, M, N, K, d, w, scan step, and their derivatives i.e., and x are important input J. Microlith., Microfab., Microsyst., Vol. 2 No. 4, October

4 Fig. 3 Series of diagrams showing the progress of an exposure based on the point array technique. The exposure progresses from the left column (top to bottom) gradually to the right columns. The diagrams are labeled according to temporal increment from T 0, T, T 2,..., to T n, where n is an integer, and with the stage moving forward with a fixed scan step. An intended outline of the line patterns (white) is shown on the stage. As the stage scans underneath the DMD (or the Hi-Res MLS), some point array is activated as they pass within the intended pattern, exposing points that eventually connected and filled in to complete the line patterns (black) at T n. parameters in the software algorithm for data extraction and data transfer. The discussion of software manipulation is beyond the scope of this paper, but can be referred from Mei 12 and Zhou et al. 16 Figure 3 illustrates a sequence of point array data frames in the temporal domain to perform an exposure using the Hi-Res MLS. Based on the input parameters in the previous paragraph, we performed a 1-D simulation of cross-sectional overlaying intensity of 2.0-, 1.5-, and 1.0- m L/S features. Figure 4 shows the ideal input profiles and the corresponding simulation results of 334 J. Microlith., Microfab., Microsyst., Vol. 2 No. 4, October 2003

5 Fig. 4 Comparison between (a), (b), and (c) ideal input profiles and (d), (e), and (f) their corresponding simulation results of 1-D cross-sectional overlaying intensity profiles for 2.0, 1.5-, and 1.0- m L/S. The simulations were performed using (M,N) (848,600), d 10 m, w 1.0 m, K 20, and scan step 0.4 m as input parameters. The results indicate 2.0- and 1.5- m L/S features (d) and (e) are resolvable on photoresists with high-contrast characteristic curves treated with the appropriate preparation and development processes. The overlaying intensity contrast ratio for 1.0- m L/S, as seen in (f), is reduced, suggesting that 1.0- m features may not be easily produced. intensity dosage as a consequence of overlaying for the three cases of feature sizes. Results indicated that the contrast ratio of overlaying intensity in the case of a 1.0- m L/S feature is reduced compared to those of the 1.5- and 2.0- m L/S features, suggesting that the 1.0- m L/S features may not be achievable in actual experiments. The 2.0- and 1.5- m L/S features are, however, possible when using photoresists with threshold high contrast characteristic curves Methods 2.1 Exposure Experiment The performance of the Hi-Res MLS was evaluated by examining the best possible L/S pattern it was capable of resolving. The lithography system subassembly was done using propriety technologies, ensuring alignment accuracy between the DMD image and the MLSFA with tolerance less than 1/20 of a pixel for the whole field. The first portion of 1:3 projection lens and MLSFA were then aligned to the high-na 5:1 reduction lens. The assembled lithography system was then aligned to the stage scanning direction based on the desired or K value. The focal plane of the system was aligned to the substrate surface. The scanning/ scrolling and stitching not used in this experiment stages used in this experiment had a precision step size of 0.1 m on the horizontal x and y axes scrolling and stitching directions, 0.01 m onthez axis work distance adjustment, and 0.01 deg on the rotational stage. Any stitching misalignment if used can be compensated through software control since the stage absolute position is highly repeatable. Chrome-coated glass wafers were used as substrates in this experiment. An in-house spin-coater was used to uniformly spread a layer of photoresist PR on the substrates. TSMR-8970XB10 PR was prediluted to 3.8 cp with thinner. The substrates were initially spin-coated with OAP an adhesion-promoting agent between substrate and photore- J. Microlith., Microfab., Microsyst., Vol. 2 No. 4, October

6 Fig. 5 Exposure results of vertical lines (left column), horizontal lines (center column), and 45-deg angled lines (right column) relative to the stage scanning or point array pattern scrolling direction. Vertical lines were generated in the direction of the stage motion or point array scrolling. The top row shows scanning electron microscope (SEM) images of 1.5- m L/S, while the bottom row shows those of 1.8- m L/S. The magnified SEM on the top left corner shows the 1.5- m L/S in more detail. It is important to evaluate results of line patterns in different orientation to verify the system s alignment and stage synchronization during an exposure run. sist, by Tokyo Ohka Kogyo Co., Ltd. surface wetting at 3000 rpm for 25 s. Later, the diluted PR was spin-coated on the substrate at 3000 rpm for 30 s. On evaluation, the PR thickness was determined to be approximately m. The substrates were then prebaked at 120 C for 18 min. In this experiment, a total of 27,000 DMD data frames were generated using data extraction software algorithm, given (M,N) (848,600), d 10 m, w 1.0 m, K 20, and scan step 0.4 m as input parameters. The data were then transferred to local memories within the DMD driver. A diffuser was used to uniformly illuminate the entire field of the DMD surface, while a G-line filter was used to extract the optical power at a 436-nm wavelength from the low-power mercury arc lamp. Because of the relatively low illumination intensity, only a 0.04 mm/s scroll or scan rate was used. The Hi-Res MLS was then instructed to expose a total area of 8.47 (W) mm L. 3 Results 3.1 Maskless Lithography Exposure Results Figure 5 shows typical exposure results of pattern generated on a 1.0- m PR-coated chrome-coated glass substrate. Fig. 6 Exposure results of two star patterns on a glass substrate taken with SEM. The star pattern on the left (a) shows 1.0- m lines, whereas that on the right (b) shows 2.0- m lines. V-edges in (a) form a less perfect circle than that in (b), indicating synchronization error (this case) and/or discrete rotational misalignment limiting feature sizes of the Hi-Res MLS to 1.5 to 1.8 m. 336 J. Microlith., Microfab., Microsyst., Vol. 2 No. 4, October 2003

7 Fig. 7 Exposure results of (a) a circular-ring pattern on a glass substrate. The magnified SEM image on the right (b) shows a partial arc of 2.0- m L/S from the inner ring of the SEM image in (a). Results indicated full-field exposure of 1.8- m L/S on all line and space orientations. Patterns of 1.5- m L/S, however, were observed only in several locations where light uniformity and exposure conditions were optimized. The star pattern in Fig. 6 provides convenient evaluation of the performance of the Hi-Res MLS because of its diverging lines at many angles relative to the stage motion or point array scrolling direction. Any stage misalignment, discrete rotational misalignment, or synchronization error will result in nonuniform linewidths of the star pattern at different angles. Manifestation of the limitation of the point array technique in the Hi-Res MLS can be seen in Fig. 7. The magnified SEM image Fig. 7 b shows an arc-shaped 2.0- m L/S pattern after zooming in from the original circular-ring pattern Fig. 7 a. The arc-shaped pattern has pointed edges that were attributed to the nature of data generated and the finite size of the point array inherent in the point array technique. Such pointed edges may be minimized by reducing the synchronization scan step and/or by refining the focal size of the point array. 4 Discussion 4.1 Discussions on Exposure Results It was difficult to detect discrete rotational misalignment and synchronization error based on the 1.5- and 1.8- m L/S results in Fig. 5. That is partly because 1.5 and 1.8 m were well within the performance capability of the Hi-Res MLS. In Fig. 6 a, however, the discrepancies between discrete rotational angle of the system relative to the stage motion and synchronization became more apparent on a 1.0- m star pattern. The horizontal lines in Fig. 6 a seemed thinner and weaker than the vertical lines, and the tips of V-edges toward which the lines converged did not form a near circle as in the case of Fig. 6 b where the lines were 2.0 m wide. Thus, Fig. 6 a shows a higher degree of error in synchronization. Because of synchronization error between the stage scan step and DMD frame data, the activated point array spreads over a wider crosssectional area along the scanning direction, resulting in a weaker dose of average intensity, and thus a thinner/weaker line pattern with a shallower profile. This is in contrast to the vertical line, which has a strong and prominent line profile. If discrete rotational misalignment were more serious than synchronization error, the reversed scenario would occur; the vertical line would be weaker and less prominent than the horizontal line. Analyses of discrete rotational misalignment and synchronization error prior to exposure test on a substrate can be performed. An imaging device is placed on the scanning stage and the point array images during an exposure trial images formed by changing DMD frame data as the stage is being moved are observed on the monitor. We assume, for instance, that the vertical line is parallel to and the horizontal line is perpendicular to the stage scanning direction. If there were significant synchronization errors, the horizontal line would gradually drift upward or downward along the scanning direction as the frame data changes. Synchronization errors may be limited by tolerance in lens magnification that resulted in slight error in the desired point array pitch size d or the scan step accuracy of the stage. The errors can be compensated by refining the input parameter d and reextracting the frame data using the software algorithm. However, if the vertical line gradually drifts left or right, there is discrete rotational misalignment of which is a result of imperfect adjustment of the discrete rotational angle for the corresponding preset K value. Discrete rotational misalignment and synchronization errors are deemed acceptable only if the drift is within 10% of the smallest intended linewidth of the lithography system. For example, if the maskless lithography system is designed for exposure feature sizes of 2.0- m L/S, the error or drift must be within 0.2 m when analyzing the frame images during a trial exposure. The limitation of the point array technique can be seen in Fig. 7 b, where pointed edges appeared on 2.0- m L/S over an arc when magnified from a circular-ring-shaped pattern. These edges were a result of the finite focal size of the point array limited by Rayleigh s criterion, as well as the software algorithm used for data extraction inherent in J. Microlith., Microfab., Microsyst., Vol. 2 No. 4, October

8 Fig. 8 Portion of a photomask fabricated using the Hi-Res MLS, shown here after chrome etching. The smallest resolution on this photomask sample is 8- m L/S, easily achieved by this lithography system. The photomask is being used in testing some of our process technologies. the technique. To minimize this effect in the future, smaller point array sizes, reduced scan step, as well as modifications in the process of data extraction may be necessary. Our results indicated consistent exposure of 1.8- m L/S, with marginally acceptable results at 1.5- m L/S and yet unacceptable results at 1.0- m L/S. Actions are being taken to enhance the Hi-Res MLS performance to at least 1.0- m L/S as our target specification under the best conditions for the appropriate substrate handling and processing pre- and postexposure. These future improvements are discussed in the next section. Fig. 9 Small portion of a large 2-D array of concave microlens taken with a SEM. As shown, the pitch size of this microlens array is 17 m. The role of the Hi-Res MLS was critical in making this unique microlens array fabrication process possible. 4.2 Examples of Various Applications The Hi-Res MLS has been a workhorse within our research laboratory in the development of various technologies. We expect to further enhance the capability of the Hi-Res MLS at several fronts, which include faster data extraction and transfer rate, higher optical power and more efficient wavelength for illumination, and maximization of the DMD s capability. We currently manufacture standard 300-mW and 1-W violet diode ( 405 nm) laser systems for use in the design of the next generation 18 Hi-Res MLS. These laser systems are already being used for some of the company s products. Under development is also a high-speed driver for real-time data extraction and data transfer subsystem, which will tremendously improve the Hi-Res MLS s performance. In addition, future maskless lithography system design may employ DMD structures requiring much less data management 19 or even eliminating the DMD entirely. 20 Figure 8 shows a portion of a photomask made using the Hi-Res MLS on a chrome-coated glass substrate. We succesfully applied this system to make photomasks to further enhance the capability of our technologies and improve the understanding of our fabrication processes. Such goals can be achieved swiftly because of the short turn-around time facilitated by the Hi-Res MLS to produce new or modified patterns. In general, the exposure field length in the scanning direction is limited only by the amount of memory allocated in our electronics hardware. However, the horizontal field dimension perpendicular to the scanning direction is limited by the DMD cross-sectional field length. To expand the exposure field in the horizontal direction, multiple scans are performed adjacent to or overlapping one another, depending on the software algorithm used; thus termed a stitching function. Figure 9 illustrates a small section of a large 2-D array of a microlens measuring mm. In this case, the stitching function was applied. The Hi-Res MLS was used to generate patterns on fused silica or other optical glasses that have undergone preexposure preparation. After lithographic exposure with the Hi-Res MLS, the substrate was further treated through a series of semiconductor processes before the concave microlens array was produced as shown. 13,14 5 Conclusion The Hi-Res MLS has potential for numerous applications. These include but are not limited to high-definition LCD screen manufacturing, MEMS/microopticalelectromechanical systems MOEMS prototyping, photomask fabrication, high-resolution PCB production, biomedical device design, optical component fabrication, academic or institutional research, etc. The Hi-Res MLS is particularly suited for fast turn-around designs, device testing, modifications, and product improvement. We have successfully applied this technology to fabricate various inhouse components to enhance our company s competitive edge in other areas, be it semiconductor electronics or optical device fabrications. The market opportunities for the Hi-Res MLS are enormous. We are currently promoting the use of the Hi-Res MLS for academic and institutional research to expand the bases of applications in different science and engineering disciplines. Acknowledgments Funding for this research was provided by Ball Semiconductor Inc. and its shareholders. The authors would like to thank Mr. Akira Ishikawa for his dedication and support. The DMD used in this paper was a product of Texas Instruments, Inc. Also, many thanks to the time and efforts put in by the Exposure Systems Division team members to make this a successful project. References 1. Y. Wang and J. Bokor, Maskless lithography with nanodroplets, 2. C. S. Whelan, D. M. Tanenbaum, D. C. La Tulipe, M. Isaacson, and H. G. Craighead, Low energy electron beam top surface image processing using chemically amplified AXT resist, J. Vac. Sci. Technol. B 15 6, P. Ware, Removing the mask, OE Mag. 2 3, Mar C. Quate, Sub-micron lithography with the atomic force 338 J. Microlith., Microfab., Microsyst., Vol. 2 No. 4, October 2003

9 microscope, group/home/ HomePages/Presentations/U98part1a.PDF. 5. B. Bae, O. Park, R. Charters, B. Luther-Davies, and G. R. Atkins, Direct laser writing of self-developed waveguides in benzyldimethylketal-doped sol-gel hybrid glass, J. Mater. Res , Y. Chen, Y. Shroff, and W. G. Oldham, Modeling and control of nanomirrors for EUV maskless lithography, in Technical Proc. Int. Conf. Modeling and Simulation of Microsystems, pp , San Diego, CA Mar R. Seltmann, W. Doleschal, A. Gehner, H. Kuck, R. Melcher, J. Paufler, and G. Zimmer, New system for fast submicron optical direct writing, Microelectron. Eng. 30, K. Takahashi and J. Setoyama, An UV-exposure system using DMD, J. Inst. Electron., Inf. Commun. Eng. J82-C-II 3, D. J. D. Carter, D. Gil, R. Menon, M. K. Mondol, and H. I. Smith, Maskless, parallel patterning with zone-plate array lithography, J. Vac. Sci. Technol. B 17 6, D. Gil, R. Menon, X. Tang, H. I. Smith, and D. J. D. Carter, Parallel maskless optical lithography for prototyping, low-volume, production, and research, J. Vac. Sci. Technol. B 20 6, W. Mei, T. Kanatake, and K. Powell, Maskless exposure system, U.S. Patent No. 6,425,669 B W. Mei, Point array maskless Lithography, U.S. Patent No. 6,473,237 B R. Yang, K. F. Chan, and W. Mei, Method for fabrication of shadow mask on micro lens array by self-alignment, U.S. Patent pending. 14. R. Yang, K. F. Chan, and W. Mei, Design and fabrication of microlens and spatial filter array by self-alignment, Proc. SPIE 4985, W. Mei, T. Kanatake, and A. Ishikawa, Moving exposure system and method for maskless lithography system, U.S. Patent No. 6,379,867 B X. Zhou, T. Kanatake, W. Mei, and K. F. Chan, System and method for lossless data transmission, U.S. Patent pending; Application Pub No. US 2002/ H. J. Levinson, Principles of Lithography, pp , SPIE Press, Bellingham, WA J. Zhai, W. Mei, and K. F. Chan, High power incoherent light source with laser array, U.S. Patent pending; Application Pub No. US 2002/ W. Mei and K. F. Chan, Light Modulation Device and System, U.S. Patent No. 6,433,917 B K. F. Chan, W. Mei, J. Zhai, and A. Ishikawa, Integrated laser diode array and applications, U.S. Patent pending; Application Pub no. US 2002/ Kin Foong Chan received his BS, MS, and PhD degrees, all in electrical engineering, from the University of Texas (UT) at Austin in 1996, 1997, and 2000, respectively. Between 1994 and 1998, he worked on electronics design for data acquisition and instrumentation control with National Instruments Corporation in Austin, Texas. He has been an optical research engineer with Ball Semiconductor, Inc., since 2000, and has been an appointed adjunct professor in biomedical engineering at UT Southwestern Medical Center since 2002, both in Dallas, Texas. He joined Reliant Technologies, Inc., Palo Alto, California, in August 2003, to develop advanced laser and optical technologies for clinical dermatology. His research interests include biomedical optics and instrumentation, electro-optical devices, lithography, and optical nanotechnology. He has published numerous journal and proceedings papers related to laser-tissue interaction and microlithography, and has several issued or pending U.S. and foreign patents. Dr. Chan is a member of Tau Beta Pi, Eta Kappa Nu, SPIE, and the IEEE. working under Dr. Therese M. Cotton on the electron transfer property of redox reactive proteins. Since 1997 Dr. Feng has been with Ball Semiconductor, Inc., in the Ball Lithography Group as senior R&D and process engineer. From 1997 to 2000 he was a corporate researcher with Tohoku University of Japan, working in Dr. Masayoshi Esashi s Lab on the application of microelectromechanical systems (MEMS) technology for Ball new devices. He is now with Ball s Exposure System Division working on the development of a highresolution exposure system and microlens array fabrication. Dr. Feng was a member of the Electrochemistry Society of Japan from 1994 to 1996 and is a member of the American Physical Society and the IEEE. Ren Yang attended Tsinghua University, majoring in optical precision instruments, and received his BS engineering degree in optical precision instruments in May 1996 and his MS degree in optical engineering in March Beginning June 1999, he furthered his graduate studies in microelectromechanical systems (MEMS) with Louisiana State University, where he received MS degree in engineering science in May Mr. Yang became an optical engineer with Ball Semiconductor, Inc., in September Akihito Ishikawa joined Ball Semiconductor, Inc. (Ball), when the company was founded in October 1996, as a photolithography process engineer, and led much of the development activities for various resist-coating techniques and equipment for the spherical devices. Prior to joining Ball, he founded AtoZ Systems Performance Motoring (AZSys) in 1992, while pursuing his BS degree in mechanical engineering at University of Texas, Arlington. AZSys served the auto racing industry, supporting international race teams in vehicle testing, vehicle preparation, and logistical services. Since his appointment as the Marketing Director in January 2001, he has been promoting the maskless exposure and spherical device technology products at Ball Semiconductor, Inc. Wenhui Mei holds a PhD degree in opticoelectronic engineering from the Beijing Institute of Technology (BIT). He is the president of the Exposure Systems Division and was elected a vice president of Ball Semiconductor, Inc., in March He worked in design engineering for GL Automation Inc. and USA Display L.L.C. before coming to Ball Semiconductor, Inc., in May 1998 as an optics and mechatronics engineer. Dr. Mei is a member of the Optical Society of America and the SPIE and was formerly a professor at BIT. Zhiqiang Feng received his BS degree in physics from Fudan University in During the following 6 years he was a research associate in physics with the Shanghai Institute of Mechanical Engineering. He entered the Yokohama National University of Japan as a research student in 1991, where he received his MS degree in 1994 and his PhD degree in physical chemistry from in After receiving his doctorate, he spent a year as a postdoctoral fellow with the Department of Chemistry, Iowa State University, J. Microlith., Microfab., Microsyst., Vol. 2 No. 4, October

Maskless Lithography Based on Digital Micro-Mirror Device (DMD) with Double Sided Microlens and Spatial Filter Array

Maskless Lithography Based on Digital Micro-Mirror Device (DMD) with Double Sided Microlens and Spatial Filter Array 2017 2nd International Conference on Applied Mechanics, Electronics and Mechatronics Engineering (AMEME 2017) ISBN: 978-1-60595-497-4 Maskless Lithography Based on Digital Micro-Mirror Device (DMD) with

More information

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE Executive Summary Jay Sasserath, PhD Intelligent Micro Patterning LLC St. Petersburg, Florida Processing

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Zone-plate-array lithography using synchrotron radiation

Zone-plate-array lithography using synchrotron radiation Zone-plate-array lithography using synchrotron radiation A. Pépin, a) D. Decanini, and Y. Chen Laboratoire de Microstructures et de Microélectronique (L2M), CNRS, 196 avenue Henri-Ravéra, 92225 Bagneux,

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Digital micro-mirror device based modulator for microscope illumination

Digital micro-mirror device based modulator for microscope illumination Available online at www.sciencedirect.com Physics Procedia 002 (2009) 000 000 87 91 www.elsevier.com/locate/procedia Frontier Research in Nanoscale Science and Technology Digital micro-mirror device based

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

EUV Plasma Source with IR Power Recycling

EUV Plasma Source with IR Power Recycling 1 EUV Plasma Source with IR Power Recycling Kenneth C. Johnson kjinnovation@earthlink.net 1/6/2016 (first revision) Abstract Laser power requirements for an EUV laser-produced plasma source can be reduced

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches

High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches : MEMS Device Technologies High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches Joji Yamaguchi, Tomomi Sakata, Nobuhiro Shimoyama, Hiromu Ishii, Fusao Shimokawa, and Tsuyoshi

More information

Spatially Resolved Backscatter Ceilometer

Spatially Resolved Backscatter Ceilometer Spatially Resolved Backscatter Ceilometer Design Team Hiba Fareed, Nicholas Paradiso, Evan Perillo, Michael Tahan Design Advisor Prof. Gregory Kowalski Sponsor, Spectral Sciences Inc. Steve Richstmeier,

More information

Lecture 5. Optical Lithography

Lecture 5. Optical Lithography Lecture 5 Optical Lithography Intro For most of microfabrication purposes the process (e.g. additive, subtractive or implantation) has to be applied selectively to particular areas of the wafer: patterning

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

1. INTRODUCTION ABSTRACT

1. INTRODUCTION ABSTRACT Experimental verification of Sub-Wavelength Holographic Lithography physical concept for single exposure fabrication of complex structures on planar and non-planar surfaces Michael V. Borisov, Dmitry A.

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

Development of a new multi-wavelength confocal surface profilometer for in-situ automatic optical inspection (AOI)

Development of a new multi-wavelength confocal surface profilometer for in-situ automatic optical inspection (AOI) Development of a new multi-wavelength confocal surface profilometer for in-situ automatic optical inspection (AOI) Liang-Chia Chen 1#, Chao-Nan Chen 1 and Yi-Wei Chang 1 1. Institute of Automation Technology,

More information

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP Casey Donaher, Rudolph Technologies Herbert J. Thompson, Rudolph Technologies Chin Tiong Sim, Rudolph Technologies Rudolph

More information

Linewidth control by overexposure in laser lithography

Linewidth control by overexposure in laser lithography Optica Applicata, Vol. XXXVIII, No. 2, 2008 Linewidth control by overexposure in laser lithography LIANG YIYONG*, YANG GUOGUANG State Key Laboratory of Modern Optical Instruments, Zhejiang University,

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs Andrea Kroner We present 85 nm wavelength top-emitting vertical-cavity surface-emitting lasers (VCSELs) with integrated photoresist

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

A novel tunable diode laser using volume holographic gratings

A novel tunable diode laser using volume holographic gratings A novel tunable diode laser using volume holographic gratings Christophe Moser *, Lawrence Ho and Frank Havermeyer Ondax, Inc. 85 E. Duarte Road, Monrovia, CA 9116, USA ABSTRACT We have developed a self-aligned

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

Demo Pattern and Performance Test

Demo Pattern and Performance Test Raith GmbH Hauert 18 Technologiepark D-44227 Dortmund Phone: +49(0)231/97 50 00-0 Fax: +49(0)231/97 50 00-5 Email: postmaster@raith.de Internet: www.raith.com Demo Pattern and Performance Test For Raith

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

Femtosecond Pulsed Laser Direct Writing System for Photomask Fabrication

Femtosecond Pulsed Laser Direct Writing System for Photomask Fabrication Femtosecond Pulsed Laser Direct Writing System for Photomask Fabrication B.K.A.Ngoi, K.Venkatakrishnan, P.Stanley and L.E.N.Lim Abstract-Photomasks are the backbone of microfabrication industries. Currently

More information

Applications of Optics

Applications of Optics Nicholas J. Giordano www.cengage.com/physics/giordano Chapter 26 Applications of Optics Marilyn Akins, PhD Broome Community College Applications of Optics Many devices are based on the principles of optics

More information

Systematic Workflow via Intuitive GUI. Easy operation accomplishes your goals faster than ever.

Systematic Workflow via Intuitive GUI. Easy operation accomplishes your goals faster than ever. Systematic Workflow via Intuitive GUI Easy operation accomplishes your goals faster than ever. 16 With the LEXT OLS4100, observation or measurement begins immediately once the sample is placed on the stage.

More information

Characterization of field stitching in electron-beam lithography using moiré metrology

Characterization of field stitching in electron-beam lithography using moiré metrology Characterization of field stitching in electron-beam lithography using moiré metrology T. E. Murphy, a) Mark K. Mondol, and Henry I. Smith Massachusetts Institute of Technology, 60 Vassar Street, Cambridge,

More information

Pulsed Laser Ablation of Polymers for Display Applications

Pulsed Laser Ablation of Polymers for Display Applications Pulsed Laser Ablation of Polymers for Display Applications James E.A Pedder 1, Andrew S. Holmes 2, Heather J. Booth 1 1 Oerlikon Optics UK Ltd, Oxford Industrial Estate, Yarnton, Oxford, OX5 1QU, UK 2

More information

GEOMETRICAL OPTICS Practical 1. Part I. BASIC ELEMENTS AND METHODS FOR CHARACTERIZATION OF OPTICAL SYSTEMS

GEOMETRICAL OPTICS Practical 1. Part I. BASIC ELEMENTS AND METHODS FOR CHARACTERIZATION OF OPTICAL SYSTEMS GEOMETRICAL OPTICS Practical 1. Part I. BASIC ELEMENTS AND METHODS FOR CHARACTERIZATION OF OPTICAL SYSTEMS Equipment and accessories: an optical bench with a scale, an incandescent lamp, matte, a set of

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

BEAM SHAPING OPTICS TO IMPROVE HOLOGRAPHIC AND INTERFEROMETRIC NANOMANUFACTURING TECHNIQUES Paper N405 ABSTRACT

BEAM SHAPING OPTICS TO IMPROVE HOLOGRAPHIC AND INTERFEROMETRIC NANOMANUFACTURING TECHNIQUES Paper N405 ABSTRACT BEAM SHAPING OPTICS TO IMPROVE HOLOGRAPHIC AND INTERFEROMETRIC NANOMANUFACTURING TECHNIQUES Paper N5 Alexander Laskin, Vadim Laskin AdlOptica GmbH, Rudower Chaussee 9, 89 Berlin, Germany ABSTRACT Abstract

More information

Fabrication of micro structures on curve surface by X-ray lithography

Fabrication of micro structures on curve surface by X-ray lithography Fabrication of micro structures on curve surface by X-ray lithography Yigui Li 1, Susumu Sugiyama 2 Abstract We demonstrate experimentally the x-ray lithography techniques to fabricate micro structures

More information

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm Resolution of optical systems depends on the wavelength visible light λ = 500 nm Spatial Resolution = k λ NA EUV and SXR microscopy can potentially resolve full-field images with 10-100x smaller features

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

A process for, and optical performance of, a low cost Wire Grid Polarizer

A process for, and optical performance of, a low cost Wire Grid Polarizer 1.0 Introduction A process for, and optical performance of, a low cost Wire Grid Polarizer M.P.C.Watts, M. Little, E. Egan, A. Hochbaum, Chad Jones, S. Stephansen Agoura Technology Low angle shadowed deposition

More information

Lab-level and low-cost fabrication technique for polymer based micro-optical elements and holographic structures

Lab-level and low-cost fabrication technique for polymer based micro-optical elements and holographic structures Lab-level and low-cost fabrication technique for polymer based micro-optical elements and holographic structures Maik Rahlves a, Maher Rezem a, Christian Kelb a, Kristian Boroz a, Dina Gödeke a, Sebastian

More information

UV EXCIMER LASER BEAM HOMOGENIZATION FOR MICROMACHINING APPLICATIONS

UV EXCIMER LASER BEAM HOMOGENIZATION FOR MICROMACHINING APPLICATIONS Optics and Photonics Letters Vol. 4, No. 2 (2011) 75 81 c World Scientific Publishing Company DOI: 10.1142/S1793528811000226 UV EXCIMER LASER BEAM HOMOGENIZATION FOR MICROMACHINING APPLICATIONS ANDREW

More information

Radial Coupling Method for Orthogonal Concentration within Planar Micro-Optic Solar Collectors

Radial Coupling Method for Orthogonal Concentration within Planar Micro-Optic Solar Collectors Radial Coupling Method for Orthogonal Concentration within Planar Micro-Optic Solar Collectors Jason H. Karp, Eric J. Tremblay and Joseph E. Ford Photonics Systems Integration Lab University of California

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

Chapter 3 Fabrication

Chapter 3 Fabrication Chapter 3 Fabrication The total structure of MO pick-up contains four parts: 1. A sub-micro aperture underneath the SIL The sub-micro aperture is used to limit the final spot size from 300nm to 600nm for

More information

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions Difrotec Product & Services Ultra high accuracy interferometry & custom optical solutions Content 1. Overview 2. Interferometer D7 3. Benefits 4. Measurements 5. Specifications 6. Applications 7. Cases

More information

MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications

MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications Part I: RF Applications Introductions and Motivations What are RF MEMS? Example Devices RFIC RFIC consists of Active components

More information

Fabrication Methodology of microlenses for stereoscopic imagers using standard CMOS process. R. P. Rocha, J. P. Carmo, and J. H.

Fabrication Methodology of microlenses for stereoscopic imagers using standard CMOS process. R. P. Rocha, J. P. Carmo, and J. H. Fabrication Methodology of microlenses for stereoscopic imagers using standard CMOS process R. P. Rocha, J. P. Carmo, and J. H. Correia Department of Industrial Electronics, University of Minho, Campus

More information

Laser Speckle Reducer LSR-3000 Series

Laser Speckle Reducer LSR-3000 Series Datasheet: LSR-3000 Series Update: 06.08.2012 Copyright 2012 Optotune Laser Speckle Reducer LSR-3000 Series Speckle noise from a laser-based system is reduced by dynamically diffusing the laser beam. A

More information

Exhibit 2 Declaration of Dr. Chris Mack

Exhibit 2 Declaration of Dr. Chris Mack STC.UNM v. Intel Corporation Doc. 113 Att. 5 Exhibit 2 Declaration of Dr. Chris Mack Dockets.Justia.com UNITED STATES DISTRICT COURT DISTRICT OF NEW MEXICO STC.UNM, Plaintiff, v. INTEL CORPORATION Civil

More information

Application Note (A11)

Application Note (A11) Application Note (A11) Slit and Aperture Selection in Spectroradiometry REVISION: C August 2013 Gooch & Housego 4632 36 th Street, Orlando, FL 32811 Tel: 1 407 422 3171 Fax: 1 407 648 5412 Email: sales@goochandhousego.com

More information

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc.

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc. All-Glass Gray Scale PhotoMasks Enable New Technologies Che-Kuang (Chuck) Wu Canyon Materials, Inc. 1 Overview All-Glass Gray Scale Photomask technologies include: HEBS-glasses and LDW-glasses HEBS-glass

More information

Characteristics of point-focus Simultaneous Spatial and temporal Focusing (SSTF) as a two-photon excited fluorescence microscopy

Characteristics of point-focus Simultaneous Spatial and temporal Focusing (SSTF) as a two-photon excited fluorescence microscopy Characteristics of point-focus Simultaneous Spatial and temporal Focusing (SSTF) as a two-photon excited fluorescence microscopy Qiyuan Song (M2) and Aoi Nakamura (B4) Abstracts: We theoretically and experimentally

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

Fast, Two-Dimensional Optical Beamscanning by Wavelength Switching T. K. Chan, E. Myslivets, J. E. Ford

Fast, Two-Dimensional Optical Beamscanning by Wavelength Switching T. K. Chan, E. Myslivets, J. E. Ford Photonics Systems Integration Lab University of California San Diego Jacobs School of Engineering Fast, Two-Dimensional Optical Beamscanning by Wavelength Switching T. K. Chan, E. Myslivets, J. E. Ford

More information

Development of Nanoimprint Mold Using JBX-9300FS

Development of Nanoimprint Mold Using JBX-9300FS Development of Nanoimprint Mold Using JBX-9300FS Morihisa Hoga, Mikio Ishikawa, Naoko Kuwahara Tadahiko Takikawa and Shiho Sasaki Dai Nippon Printing Co., Ltd Research & Development Center Electronic Device

More information

Laser Beam Analysis Using Image Processing

Laser Beam Analysis Using Image Processing Journal of Computer Science 2 (): 09-3, 2006 ISSN 549-3636 Science Publications, 2006 Laser Beam Analysis Using Image Processing Yas A. Alsultanny Computer Science Department, Amman Arab University for

More information

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations.

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations. Lecture 2: Geometrical Optics Outline 1 Geometrical Approximation 2 Lenses 3 Mirrors 4 Optical Systems 5 Images and Pupils 6 Aberrations Christoph U. Keller, Leiden Observatory, keller@strw.leidenuniv.nl

More information

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator Figure 4 Advantage of having smaller focal spot on CCD with super-fine pixels: Larger focal point compromises the sensitivity, spatial resolution, and accuracy. Figure 1 Typical microlens array for Shack-Hartmann

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Shadow Printing Photomask

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

Module - 2 Lecture - 13 Lithography I

Module - 2 Lecture - 13 Lithography I Nano Structured Materials-Synthesis, Properties, Self Assembly and Applications Prof. Ashok. K.Ganguli Department of Chemistry Indian Institute of Technology, Delhi Module - 2 Lecture - 13 Lithography

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Exposure schedule for multiplexing holograms in photopolymer films

Exposure schedule for multiplexing holograms in photopolymer films Exposure schedule for multiplexing holograms in photopolymer films Allen Pu, MEMBER SPIE Kevin Curtis,* MEMBER SPIE Demetri Psaltis, MEMBER SPIE California Institute of Technology 136-93 Caltech Pasadena,

More information

The Beam Characteristics of High Power Diode Laser Stack

The Beam Characteristics of High Power Diode Laser Stack IOP Conference Series: Materials Science and Engineering PAPER OPEN ACCESS The Beam Characteristics of High Power Diode Laser Stack To cite this article: Yuanyuan Gu et al 2018 IOP Conf. Ser.: Mater. Sci.

More information

Copyright 2000 Society of Photo Instrumentation Engineers.

Copyright 2000 Society of Photo Instrumentation Engineers. Copyright 2000 Society of Photo Instrumentation Engineers. This paper was published in SPIE Proceedings, Volume 4043 and is made available as an electronic reprint with permission of SPIE. One print or

More information

Lecture 22 Optical MEMS (4)

Lecture 22 Optical MEMS (4) EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie Lecture 22 Optical MEMS (4) Agenda: Refractive Optical Elements Microlenses GRIN Lenses Microprisms Reference: S. Sinzinger and J. Jahns,

More information

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Photolithography References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Microlithography, Science and Technology Sheats & Smith, 1998 Any other Microlithography or Photolithography

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith 9. Interference Lithography Sponsors: National Science Foundation, DMR-0210321; Dupont Agreement 12/10/99 Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg,

More information

Wuxi OptonTech Ltd. Structured light DOEs without requiring collimation: For surface-emitting lasers (e.g. VCSELs)

Wuxi OptonTech Ltd. Structured light DOEs without requiring collimation: For surface-emitting lasers (e.g. VCSELs) . specializes in diffractive optical elements (DOEs) and computer generated holograms (CGHs)for beam shaping, beam splitting and beam homogenizing (diffusing). We design and provide standard and custom

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Optical Coherence: Recreation of the Experiment of Thompson and Wolf

Optical Coherence: Recreation of the Experiment of Thompson and Wolf Optical Coherence: Recreation of the Experiment of Thompson and Wolf David Collins Senior project Department of Physics, California Polytechnic State University San Luis Obispo June 2010 Abstract The purpose

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information

Improving the Collection Efficiency of Raman Scattering

Improving the Collection Efficiency of Raman Scattering PERFORMANCE Unparalleled signal-to-noise ratio with diffraction-limited spectral and imaging resolution Deep-cooled CCD with excelon sensor technology Aberration-free optical design for uniform high resolution

More information

Innovative Mask Aligner Lithography for MEMS and Packaging

Innovative Mask Aligner Lithography for MEMS and Packaging Innovative Mask Aligner Lithography for MEMS and Packaging Dr. Reinhard Voelkel CEO SUSS MicroOptics SA September 9 th, 2010 1 SUSS Micro-Optics SUSS MicroOptics is a leading supplier for high-quality

More information

Fabrication of large grating by monitoring the latent fringe pattern

Fabrication of large grating by monitoring the latent fringe pattern Fabrication of large grating by monitoring the latent fringe pattern Lijiang Zeng a, Lei Shi b, and Lifeng Li c State Key Laboratory of Precision Measurement Technology and Instruments Department of Precision

More information

Lecture 13 Basic Photolithography

Lecture 13 Basic Photolithography Lecture 13 Basic Photolithography Chapter 12 Wolf and Tauber 1/64 Announcements Homework: Homework 3 is due today, please hand them in at the front. Will be returned one week from Thursday (16 th Nov).

More information

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations.

Lecture 2: Geometrical Optics. Geometrical Approximation. Lenses. Mirrors. Optical Systems. Images and Pupils. Aberrations. Lecture 2: Geometrical Optics Outline 1 Geometrical Approximation 2 Lenses 3 Mirrors 4 Optical Systems 5 Images and Pupils 6 Aberrations Christoph U. Keller, Leiden Observatory, keller@strw.leidenuniv.nl

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Design Description Document

Design Description Document UNIVERSITY OF ROCHESTER Design Description Document Flat Output Backlit Strobe Dare Bodington, Changchen Chen, Nick Cirucci Customer: Engineers: Advisor committee: Sydor Instruments Dare Bodington, Changchen

More information

PHYS 202 OUTLINE FOR PART III LIGHT & OPTICS

PHYS 202 OUTLINE FOR PART III LIGHT & OPTICS PHYS 202 OUTLINE FOR PART III LIGHT & OPTICS Electromagnetic Waves A. Electromagnetic waves S-23,24 1. speed of waves = 1/( o o ) ½ = 3 x 10 8 m/s = c 2. waves and frequency: the spectrum (a) radio red

More information

Microlens array-based exit pupil expander for full color display applications

Microlens array-based exit pupil expander for full color display applications Proc. SPIE, Vol. 5456, in Photon Management, Strasbourg, France, April 2004 Microlens array-based exit pupil expander for full color display applications Hakan Urey a, Karlton D. Powell b a Optical Microsystems

More information

Digital Photographic Imaging Using MOEMS

Digital Photographic Imaging Using MOEMS Digital Photographic Imaging Using MOEMS Vasileios T. Nasis a, R. Andrew Hicks b and Timothy P. Kurzweg a a Department of Electrical and Computer Engineering, Drexel University, Philadelphia, USA b Department

More information

Profile Measurement of Resist Surface Using Multi-Array-Probe System

Profile Measurement of Resist Surface Using Multi-Array-Probe System Sensors & Transducers 2014 by IFSA Publishing, S. L. http://www.sensorsportal.com Profile Measurement of Resist Surface Using Multi-Array-Probe System Shujie LIU, Yuanliang ZHANG and Zuolan YUAN School

More information

Micro-Optic Solar Concentration and Next-Generation Prototypes

Micro-Optic Solar Concentration and Next-Generation Prototypes Micro-Optic Solar Concentration and Next-Generation Prototypes Jason H. Karp, Eric J. Tremblay and Joseph E. Ford Photonics Systems Integration Lab University of California San Diego Jacobs School of Engineering

More information

Instructions for the Experiment

Instructions for the Experiment Instructions for the Experiment Excitonic States in Atomically Thin Semiconductors 1. Introduction Alongside with electrical measurements, optical measurements are an indispensable tool for the study of

More information

Optical design of a high resolution vision lens

Optical design of a high resolution vision lens Optical design of a high resolution vision lens Paul Claassen, optical designer, paul.claassen@sioux.eu Marnix Tas, optical specialist, marnix.tas@sioux.eu Prof L.Beckmann, l.beckmann@hccnet.nl Summary:

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM Stanislav KRÁTKÝ a, Vladimír KOLAŘÍK a, Milan MATĚJKA a, Michal URBÁNEK a, Miroslav HORÁČEK a, Jana

More information