High. Lithography Systems. * prof. Dr. A[ec N. Broers, ZBM T. J. Watson Re- printing is that the close proximity between

Size: px
Start display at page:

Download "High. Lithography Systems. * prof. Dr. A[ec N. Broers, ZBM T. J. Watson Re- printing is that the close proximity between"

Transcription

1 High Resol ution Lithography Systems A Review of the Current Status By Alec N. Broers, Yorktown Heights, N.Y.*) This paper discusses the advantages and disadvantages of advanced lithography techniques under investigation for the fabrication of semiconductor integrated circuits. These techniques are replacing conventional ultraviolet (UV) contact/proximity printing. They employ standard UV radiation (A = 3500 A-4000 A), deep UV radiation (1 = 2000 A-2600 A), soft x-rays (A = 4 A--40 A), and electrons. It is assumed that the reader is familiar with the basic principles of the new methods. veloped which are capable of reaching dimensions close to 1 pm and 2) recognized problems with the new technologies, for example, cost for scanning electron beams systems, and throughput and mask stability for x-ray lithography are taking longer to resolve than optimistic proponents of these methods had originally predicted. The situation is further complicated by the proliferation of available approaches. At present there are eight different methods under investigation for dimensions below 2 pm. They are contact/proximity printing with deep UV radiation, x-ray lithography, 1 : 1 scanning optical projection, step and repeat reduction optical projection, scanning electron beam, 1 : 1 photocathode electron beam projection, step and repeat reduction electron beam projection, and proximity printing with electrons. This paper discusses the advantages and disadvantages of these methods. It is assumed that the reader is familiar with the principles of the different techniques. They have recently been reviewed elsewhere [I]. A few representative references are included to give the reader a path into the extensive literature on the subject. Contact/Proximity Methods Conventional UV proximity printing methods can be extended to resolutions below Introduction 2 pm through the use of deep UV (A = 2000 A-2500 A) radiation [2](see for example Lithography in this context is the art of figure 1). Further improvement to below defining the intricate patterns needed for the fabrication of microcircuits. For dimensions below about 2.5 pm it used to be thought that light optical processes would to be abandonned and electron beam or x-ray methods adopted. This was when there was little interest in reduced dimensions in the microcircuit industry. Now this interest is widespread, but the situation has changed in two important ways: 1) optical systems have been de- 1 pm is available through the simultaneous use of conformal printing methods 131 in which the gap between mask and wafer is minimized. Alignment techniques are available which can in principle reach accuracies of 0.25 pm. These combined capabilities give contact/proximity printing the potential to reach resolutions beyond those of present 1 x optical projection systems. Throughput can be comparable and system cost is lower. The reason not to use contact/proximity * prof. Dr. A[ec N. Broers, ZBM T. J. Watson Re- printing is that the close proximity between search Center, P.O. Box 218, Yorktown Heights, N.Y , USA. 704 mask and wafer inevitably results in mask damage which reduces yield and increases

2 the number of masks required for a given wafer volume. This disadvantage has clearly justified the change to projection printing at 3-4 pm linewidths. The situation should be reexamined, however, in light of the potential density improvement achievable at linewidths of 1-2 pm. Figure 4. Proximity printed image using deep UV (2000 A) radiation in PMMA resist. 5,urn gap was maintained between mask and wafer (Lin [21). Linewidth 1.5,urn. Figure 2. High aspect ratio pattern in PMMA resist obtained by x-ray lithography (Spiller et. al., J. de Physique, 1978, to be published). 1,urn linewidths. X-Ray Lithography X-ray lithography [4, 51 (proximity printing with soft x-rays) offers one outstanding advantage over other methods. Image fidelity is preserved in very thick resist layers and is not degraded by diffraction effects as it is with light optical exposure, or scattering as it is with electron exposure. This allows high aspect ratio resist patterns to be produced (see figure l), and means that there are no proximity effects as there are with electron exposure. On the other hand, the mask is fragile and may not be dimensionally stable, and the large divergence of conventional x- ray sources gives rise to image distortion if the mask or wafer are not flat. A step and repeat x-ray approach in which the mask size is limited to the maximum stable area (this area can be set by mask and/or wafer instabilities) overcomes the problems of mask stability and source divergence, but exposure time with present resists and sources becomes excessive. A synchrotron storage ring [61 with a circulating current of at least tens of milliamps is a bright enough source to reduce exposure times to an acceptable level, but its great cost and overcapacity makes it difficult to utilize efficiently. The most satisfactory solution to this set of problems would appear to be the development of a compact and relatively inexpensive high output soft x-ray source, or a significant improvement in resist sensitivity. Recent experiments using x-rays from laser produced plasmas [71 offer some hope for the former. For the latter, it must be remembered that sensitivity has to be defined in terms of the resist (wall) profile and the acceptable thickness loss in the resist areas that remain after development (8). These requirements generally become more stringent for smaller dimensions, and resist processes that have been adequate for larger dimensions may no longer be useful. Resists that have proved to be satisfactory for 1 pm device fabrication do not have adequate sensitivity for the step and repeat approach unless a storage ring is used as the x-ray source. 705

3 The alternative to the step and repeat approach. is the original concept of full-wafer printing. Here a resilient substrate with adequate dimensional stability must be found. The formidable technological challenges must also be met of controlling temperature stability, and sample and mask chucking forces to the point that 2 ppm overall dimensional repeatability (0.2 pm over 10 cm) is achieved. Many laboratories are concentrating their efforts on these problems which enhances the likelihood of their being resolved. Experimental results already indicate that alignment of mask and wafer should be possible to better than 0.1 pm 191. I x Scanning Optical Projection 1 : 1 optical scanning projection systems I101 use the same masks and resists as contact printing, but they improve yield greatly by keeping mask and wafer separated. They also utilize master masks and thus dispense with the need for working masks. Throughput is high and approaches one hundred 8 cm diameter wafers per hour in some instances [lo]. Resolution at present is adequate for 3 pm linewidths, and new systems promise improvement to 2 pm or below. Overlay accuracy is predicted to improve from + 1 pm -1.5 pm to pm. In the new systems tighter tolerances are met in the fabrication of optical components, temperature corprol is improved, and deep UV (A = 2000 A-2600 A) radiation may be used, rather than conventional wavelengths (A = 3000 A-4000 A). I x scanning systems are full-wafer exposure systems and will encounter severe overall dimensional stability problems as dimensions approach 1 pm. The numerical aperture of the mirror imaging system will also have to be increased from the present value of 0.16 to about 0.3, and even with partially coherent illumination, depth of field will become very small. This may be intolerable because it will be very difficult to adjust focus and level the sample for different portions of the sample surface. Figure 3. 1 Am linewidths in resist produced by Sx projection camera (Wilczynski. J. S.. Tibbetts, R., Lin. B. J.. and Santy, W., IBM Research, Yorktown Heights, N. Y.). Step and Repeat Reduction Projection Step and repeat reduction projection cameras offer the same yield advantage as 1 :1 scanning projection plus a demonstrated improvement in resolution. High quality lenses have been made which achieve diffraction limited resolution at a numerical aperture of 0.34 N.A. (60 o/o contrast for 1.25 pm lines) over a field of I cm x 1 cm Advances in the design of illumination systems allow similar fields to be exposed in less than 0.5 sec (see for example 1131). When such an illumination system is combined with a high speed interferometrically controlled table, throughputs of 50 75mm diameter wafers per hour can be obtained Throughput had previously been considered inadequate for many LSI applications. As already mentioned, a major difficulty with any optical system at resolutions below 2 pm is the very small depth of field. In the step and repeat case, however, focusing and wafer leveling can be carried out at every chip site and the problem more readily contained than with the full wafer scanning systems. Even with this adjustment resist pro- 106

4 cesses have to be very closely controlled and multilevel resists in which the imaging layer is kept thin will be particularly advantageous. Alignment can be carried out once per wafer, as with 1 : 1 scanning systems, or preferably at every chip site. In the first instance laser interferometry is relied upon to maintain alignment and overlay accuracy of rt 0.5 pm should be achievable. In the second case improvement to? 0.25 pm should be possible. Although the resolution (see figure 3 and reference 15), throughput and overlay accuracy are very encouraging for step and repeat cameras, full complexity LSI devices with dimensions below 2 pm have yet to be fabricated. The most serious unknown is the ability to maintain pattern fidelity on rough surfaces. Scanning Electron Beam This is the only method used so far to successfully make fullcomplexity silicon devices with a capability that exceeds conventional contact printing in terms of linewidth and overlay tolerance. See, for example, devices described in references [16] and [171. Full lithography capability has been established for 0.6 pm dimensions as is shown in figure 4. Masks made by a scanning electron beam Figure pm gate length F.E.T. test chip fabricated by VS-1 scanning electron beam system (Chang, T. H. P., et al., Proc. 7th Internat. Sym. Electron Ion Beam Sci. Technol. (Electrochem. Soc. Princeton, N. J.), p. 392, (1976).) are also needed for replication methods such as x-ray lithography and deep UV conformal printing. For conventional masks, scanning electron beam systems offer better resolution, lower defect levels, and quicker turn-round than conventional mechanical pattern generation techniques [ An electron beam system can generate several master masks for 8 cm diameter samples in less than 1 hour, whereas it can take several hours to generate the reticule alone with standard methods. This reticule then has to be stepped and repeated in a reduction projection camera to produce a master mask. The quick turn-round of scanning beam fabrication has also been used to advantage for the direct writing of customized interconnection layers on LSI circuits [221. Although scanning electron beam systems have proved that they are capable of directly fabricating advanced circuits, they are not yet cost competitive with optical approaches for the manufacturing of most LSI devices. Nonetheless, their performance in this respect is improving rapidly. The improvement is due to the introduction of advanced electron optical design methods [231 and concepts (for example, the variable shaped beam concept [24, 251), higher speed electronics, and due to large reductions in the cost of the digital control electronics. Efforts are also being made to evolve optimum system architectures. In particular, the possibility of minimizing overhead times spent on mechanical sample movement and registration has become apparent through a combination of large area two dimensional electronic scanning, vector pattern addressing, and a fast variable speed mechanical table. The table would be moved under the control of a laser interferometer without interrupting the pattern writing process [261. Resolution in electron beam fabricated structures is not ultimately set by the resolution of the electron optical system, but by electron scattering in the image-forming layer (resist), and by electron backscattering from the substrate. These scattering pheno- I01

5 mena also give rise to proximity effects which make it necessary to adjust the exposure for different areas of the pattern. Experiments with automatic proximity effect correction indicate that correction is essential for dimensions below 1 ym and is of great value at 1.25 Fm [271. In the extreme it is possible through the use of thin membrane substrates, which effectively eliminate backscattered electrons, to produce linewidths as small as 250 A even using the standard electron resist PMMA. (See figure 5 and reference 1281.) Step and Repeat Reduction Electron Beam Projection In principle reduction electron beam projection systems 129, 301 are very much more efficient than scanning systems because millions of resolution elements are projected simultaneously. They are also simpler because pattern data are stored in a mask rather than fed on-line to the system during each exposure. Electron optical projection columns have been built which operate according to their design specifications, but fundamental problems remain with the mask and with distortion in the projected image. The difficulty with the mask is that there is no available substrate that is adequately transparent to electrons, so the mask must either be self-supporting or be a patterned photocathode. Thin metal foil masks have been fabricated and methods found to overcome the problem that certain areas of the pattern are unsupported; but adequate dimensional stability has not been demonstrated, nor have full complexity LSI masks been made. Photocathodes have relatively low electron brightness and would greatly increase exposure time over a thin foil mask illuminated with a high brightness electron beam. The electron optical system used to accelerate electrons from the photocathode surface has also been shown to increase pattern distortion [301. Distortion control has proven difficult over the large fields (l0,ooo times the mini- Fig. 5. Bright-field STEM image of pattern ionetched in 22.5 nm thick Au-Pd layer on 60 nm thick Si, N, membrane. Minimum lines and spaces are 25 nm wide. PMMA resist was exposed at 5 x Clem2 with a 50 KV I nm diameter electron beam. mum linewidth) needed to give these systems higher throughput than scanning systems. As with any step and repeat approach, the throughput of a reduction projection system will depend to a large extent on the time taken to mechanically step the sample and to register the pattern to the sample. With scanning systems writing is already becoming comparable to these overhead times. For projection systems to be faster, therefore, shorter exposure time is not adequate, the field size must also be larger so that the step and register sequence does not have to be performed so frequently. Field size for an optimum 4x projection system has been estimated to be 2 cm x 2 cm for 1 ym minimum linewidth (30). This is considerably higher than the maximum of about 0.5 cm x 0.5 cm predicted for scanning systems at the same resolution. Exposure time can be less than a second but distortion will be %. Scanning systems with dynamic distortion correction achieve % distortion. The relatively high distortion of the projection system may be tolerable for microcircuits provided all exposures are made on a single system, but it will preclude system to system compa- 708

6 tibility, or the possibility of combining this method with other lithography techniques. Electron beam proximity effects are d8icult to compensate with any full-pattern electron beam projection systems. Correction will have to be made by preadjusting the shapes in the mask because it will be difficult to adjust the electron charge per unit area from point to point in the image, as can be done with scanning electron beam systems. 1 : 1 Photocathode Electron Beam Projection This approach offers the resolution and depth of field of electron optics together with the parallelism and simplicity of proximity printing. Problems with the lifetime of the patterned photocathode delayed progress for many years but the introduction of cesium iodide as the photoemitter largely removed this problem. Successful methods for aligning the projected image to the sample have also been developed. The problems that remain are pattern distortion, reduction of image contrast because of backscattered electrons being returned to the sample surface, and correction for proximity effects. The sample is part of the electron optical system, and distortion is introduced if it is not absolutely flat. Calculations show that a 30 pm wafer bow will introduce a 1 pm error in pattern position [3 11. Electrostatic wafer chucking is being applied to solve this problem. So far 2 pm LSI devices have been successfully made with a 1 : 1 electron beam projector, and it is predicted that cm diameter wafers can be exposed in one hour [3 I]. For dimensions below 1 pm, the dimensional stability required to satisfy overlay requirements will become extremely severe just as it will for any full-wafer exposure technique. Demonstration of a method for correcting proximity effects by adjusting the shapes in the mask has been reported Electron Beam Proximity Printing Two methods [29, 321 have recently been reported in which flood electron beams are used for proximity printing through self-supporting 1 x masks. In the first case [29] the mask is illuminated with a parallel beam produced by the upper half of a reduction electron beam projection system column. A scanning mode in which the illuminating beam is focused onto the sample is proposed to test for alignment. The position of the shadow image will then be corrected before exposure by tilting the illuminating beam. 1.3 pm lines have been reproduced using a metal foil mask and an exposure time of 2.5 sec/cm2. In the second case 1321, relatively thick (3 pm) masks have been fabricated from silicon wafers by taking advantage of the high aspect ratios achievable with reactive ion etching of silicon. A gold layer absorbs the electrons. Exposure is made with a small electron beam (1 mm-2 mm in diameter) which scans over the mask. Linewidths of about 1 pm have been produced. For the fabrication of semiconductor devices a step and repeat approach will be used in order to keep the mask area relatively small and thereby make it easier to meet the required dimensional and overlay tolerances. A laser interferometer will keep track of sample position as the sample is stepped underneath the mask. Image position will be corrected at every chip site by tilting the illuminating beam. Mask fabrication for 1 x electron beam proximity printing will be more difficult than for electron beam reduction projection because of the smaller dimensions, but distortion should be easier to control, particularly in the second approach where a relatively small area mask is employed. The potential advantages of electron beam proximity printing over x-ray lithography are that exposure times can be shorter and that alignment can readily be achieved by electronically deflecting the illuminating beam. The self-supporting mask will be very much more difficult to fabricate, however, especially if shapes have to be adjusted to compensate for proximity effects. Electron scattering 709

7 Table 1. Alternative methods for employing new lithography approaches in the manufacture of microcircuits 5-lox MASTER MASK WORKING WAFER EXPOSE RETICLE MASKS ID PATTERN MECHANICAL CONTACT PATTERN REDUCTION GENERATOR CAMERA PROXIMITY PRINTING PROXIMITY PRINTING 2 PATTERN SCANNING DATA L E/B Ix OPTICAL PROJECTION lx E/B 4 L SCANNING E/B 5 PATTERN SCANNING X RAY DATA L El B - PROXIMITY - X RAY PROXIMITY from the edges of the mask openings may also degrade the image. Overall Comparison Table I shows five ways in which the various lithography methods can be combined to produce microcircuits. The first is the historically established route, the others are the methods most likely to appear in the future. Their relative success will obviously depend on requirements for device performance, cost and volume. A key will be the ratio of lithography cost to overall wafer cost. If overall wafer cost is high, then changing from a low cost lithography to a higher resolution higher cost approach may well reduce overall cost per device by increasing density. It is likely that combinations of the different approaches, for example, 2 and 4, may also prove optimum under some circumstances. Approximate estimates of comparative cost per resolution element for some of the different exposure systems are given in table 11. A resolution element is defined as a pattern square one minimum linewidth on the side. The numbers in brackets in the system column are predictions of field size, minimum linewidth and exposure time per field 710

8 ~ Table 2. Approximate estimates of comparative cost per resolution element for several lithography systems. SYSTEM PATTERN COST COST FACTOR PER PATTERN ELEMENTS ELEMENT PER SECOND (NORMALIZED TO F.F. OPTICAL PROJECTION) FULL-FIELD OPTICAL PROJECTION (70 cm, 2 pm, 25 Sec) 7 x 107 I 1 STEPIREPEAT OPTICAL PROJECTION 4.4 x (1 cm, 1.5 pm, 1 Sec) SCANNING ELECTRON BEAM 1.6 x (0,16 cm2, 1 pm, 1 Sec) X-RAY FULL FIELD (70 cm, 1 pm, 200 Sec) 3.5 x X-RAY STEPIREPEAT (1 cm2, 1 pm, 10 Sec) : 1 ELECTRON BEAM PROJECTION (70 cm, 1 pm, 60 Sec) 7 x IGNORING: YIELD TURN-AROUND TIME, MASK COST. OVERLAY ACCURACY. SY- STEM AVAILABILITY DATE, ETC (including overheads such as sample loading, mechanical stepping, and registration). That may be achievable in the future. In all cases a large degree of uncertainty is involved. Electron beam and x-ray systems can readily reach dimensions below 1 pm, but, in general, field size will be reduced and resolution elements per field will remain approximately equal. Electron beam reduction projection and proximity printing are not included because little if any device fabrication has been completed with these methods. In order to obtain the cost factor, the following capital costs were assumed: 1 : 1 scan- ning optical projection - $ 200 k; S/R reduction optical projection - $ 400 k, scanning electron beam $ 1500 k, x-ray full field $ 250 k, x-ray step and repeat $ 500 k (this could be the cost of one port on an electron synchrotron storage ring) $ 1250 k, 1 : 1 electron beam projection. Capital cost was distributed over five years and operating costs adjusted over a small range according to system complexity. One operator at a cost of $ 50 k per year was assumed for each system. According to table 11, a cost penalty will be incurred for all but one of the advanced approaches. This cost penalty will have to be 71 I

9 accounted for by increased density, higher yield, improved device performance, or on the basis of a logistical or cost advantage arising from the elimination of masks. References [ 11 Broers, A. N., Instit. of Phys. Conf. Series 40 (Instit. of Physics London), Ed. E. Ash, p. 155 (1978) and Broers, A. N., and T. H. P. Chang, Proc. Microcircuit Engineering 1978 Conf. (Cambridge University Press), Ed. W. C. Nixon and H. Ahmed. To be published 1979 [ 21 Lin, B. J., J. Vac. Sci. & Technol., 12, 1317 (1975) 3) Smith, H. I., Rev. Sci. Instr., 40, 729 (1969) 41 Spears, D. L., and H. I. Smith, Electron Lett., 8, 102 (1972) [ 51 Spiller, E., and R. Feder, X-ray Lithography, Chap. 3 in X-ray Optics, Ed. H. J. Queisser, Springer, Berlin (1977) 1 61 Spiller, E., et. al., J. Appl. Phys., 47, 5450 (1976) 71 Peckerar, M. C, et. al., Proc. 8th Internat. Conf. on Electron and Ion Beam Sci. Technol. (Electrochemical SOC. Princeton, N. J., USA.) To be published 1978 [ 81 Hatzakis, M., J. Vac. Sci. and Technol., 12, 1276 (1975) [ 91 Flanders, D., et. al., Appl. Phys. Lett., 31,426 (1977) [lo] Markle, D. A., Solid State Technol., p. 50 (June 1974) [111 Offner, A., SPSE 31st Annual Conf., J. Appl. Phot. Eng. (May 1978). Proc. to be published [ 121 Wilczynski, J. S., and R. Tibbetts, Unpublished (1976). See also Wilczynski, J. S., and R. Tibbetts, IBM J. Res. Develop., 13, 192 (1969) [131 Roussel, J., Sol. State Technol., p. 67 (May 1978) [ 141 Tobey, W., Electronics, 50, 115 (Aug ) [15] Hugues, M., et. al., Proc. Internat. Conf. on Microlithography, Paris, p. 71 (1977) [16] Yu, H. N., et. al., J. Vac. Sci. Technol., 12, 1297 (1975) [17] Reisman, A., et. al., and W. D. Grobmann, et a1 (1978). This Proceedings [ 181 Varnell, G., et. al., Proc. 6th Internat. Conf. on Electron and Ion Beam Sci. and Technol., Ed., R. Bakish, Electrochemical SOC., Princeton, N. J., p. 97 (1974) [191 Beasley, J. P., and D. G. Squire, IEEE Trans. on Electron Devices, ED-22, 3 (1975) [20] Herriott, D. R., et. al., IEEE Trans. on Electron Devices ED-22, 399 (1 975) [211 Ting, C. H., et. al., J. Vac. Sci. Technol., 15, 948 (1978) [22] Yourke, H. S., and E. V. Weber, Proc. Internat. Electron Devices Conf., Washington, D. C., p. 431 (1976) 1231 Munro, E., Optik, 39, 450 (1974) 1241 PfeiJfer, H. C., J. Vac. Sci., Technol., 15, 887 (1978) 251 Goto, E., et. al., J. Vac. Sci. Technol., 15, 883 (1978) 261 Wilson, A. D., et. al., Proc. 8th Internat. Conf. on Electron and Ion Beam Sci. and Technol., (Electrochemical SOC. Princeton, N. J., USA). To be published (1978) 1271 Parikh, M., J. Vac. Sci. Technol. 15, 931 (1978) 1281 Broers, A. N., et. al., Appl. Phys. Lett. (Sept. 1978) [291 Heritage, M. B., J. Vac. Sci. Technol., 12, 1135 (1975) [301 Lischke, B., et. al., Proc. Internat. Conf. on Microlithography, Paris, p. 163 (1977) I311 Scott, J. P., J. Vac. Sci. Technol., 15, 1016 (1978) [321 Bohlen, H., et. al., Proc. 8th Internat. Conference on Electron and Ion Beam Sci. Technol., (Electrochemical Society, Princeton, N. J., USA.). To be published 1978

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

The Development of Device Lithography

The Development of Device Lithography 5 66 PROCEEDINGS OF THE IEEE, VOL. 71, NO. 5, MAY 1983 The Development of Device Lithography DONALD R. HERRIOT", SENIOR MEMBER, IEEE Invited Paper Abstmet-Lithography has been the principal pacing element

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Lecture 5. Optical Lithography

Lecture 5. Optical Lithography Lecture 5 Optical Lithography Intro For most of microfabrication purposes the process (e.g. additive, subtractive or implantation) has to be applied selectively to particular areas of the wafer: patterning

More information

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Yukinori Ochiai, Takashi Ogura, Mitsuru Narihiro, and Kohichi Arai Silicon Systems Research Laboratories,

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith 9. Interference Lithography Sponsors: National Science Foundation, DMR-0210321; Dupont Agreement 12/10/99 Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg,

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm Resolution of optical systems depends on the wavelength visible light λ = 500 nm Spatial Resolution = k λ NA EUV and SXR microscopy can potentially resolve full-field images with 10-100x smaller features

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

Zone-plate-array lithography using synchrotron radiation

Zone-plate-array lithography using synchrotron radiation Zone-plate-array lithography using synchrotron radiation A. Pépin, a) D. Decanini, and Y. Chen Laboratoire de Microstructures et de Microélectronique (L2M), CNRS, 196 avenue Henri-Ravéra, 92225 Bagneux,

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Copyright 2000 Society of Photo Instrumentation Engineers.

Copyright 2000 Society of Photo Instrumentation Engineers. Copyright 2000 Society of Photo Instrumentation Engineers. This paper was published in SPIE Proceedings, Volume 4043 and is made available as an electronic reprint with permission of SPIE. One print or

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

High Resolution Microlithography Applications of Deep-UV Excimer Lasers

High Resolution Microlithography Applications of Deep-UV Excimer Lasers Invited Paper High Resolution Microlithography Applications of Deep-UV Excimer Lasers F.K. Tittel1, M. Erdélyi2, G. Szabó2, Zs. Bor2, J. Cavallaro1, and M.C. Smayling3 1Department of Electrical and Computer

More information

Computer Generated Holograms for Optical Testing

Computer Generated Holograms for Optical Testing Computer Generated Holograms for Optical Testing Dr. Jim Burge Associate Professor Optical Sciences and Astronomy University of Arizona jburge@optics.arizona.edu 520-621-8182 Computer Generated Holograms

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Module - 2 Lecture - 13 Lithography I

Module - 2 Lecture - 13 Lithography I Nano Structured Materials-Synthesis, Properties, Self Assembly and Applications Prof. Ashok. K.Ganguli Department of Chemistry Indian Institute of Technology, Delhi Module - 2 Lecture - 13 Lithography

More information

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools I. Yu. Kuzmin, C. A. Mack* Soft Services, Djalila 5-2-507,Moscow 115580, Russia *FNLE Division ofkla-tencor,

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

Optical Issues in Photolithography

Optical Issues in Photolithography OpenStax-CNX module: m25448 1 Optical Issues in Photolithography Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 note: This module

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Testing Aspherics Using Two-Wavelength Holography

Testing Aspherics Using Two-Wavelength Holography Reprinted from APPLIED OPTICS. Vol. 10, page 2113, September 1971 Copyright 1971 by the Optical Society of America and reprinted by permission of the copyright owner Testing Aspherics Using Two-Wavelength

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Development of Nanoimprint Mold Using JBX-9300FS

Development of Nanoimprint Mold Using JBX-9300FS Development of Nanoimprint Mold Using JBX-9300FS Morihisa Hoga, Mikio Ishikawa, Naoko Kuwahara Tadahiko Takikawa and Shiho Sasaki Dai Nippon Printing Co., Ltd Research & Development Center Electronic Device

More information

A process for, and optical performance of, a low cost Wire Grid Polarizer

A process for, and optical performance of, a low cost Wire Grid Polarizer 1.0 Introduction A process for, and optical performance of, a low cost Wire Grid Polarizer M.P.C.Watts, M. Little, E. Egan, A. Hochbaum, Chad Jones, S. Stephansen Agoura Technology Low angle shadowed deposition

More information

Development of JEM-2800 High Throughput Electron Microscope

Development of JEM-2800 High Throughput Electron Microscope Development of JEM-2800 High Throughput Electron Microscope Mitsuhide Matsushita, Shuji Kawai, Takeshi Iwama, Katsuhiro Tanaka, Toshiko Kuba and Noriaki Endo EM Business Unit, JEOL Ltd. Electron Optics

More information

High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches

High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches : MEMS Device Technologies High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches Joji Yamaguchi, Tomomi Sakata, Nobuhiro Shimoyama, Hiromu Ishii, Fusao Shimokawa, and Tsuyoshi

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

Confocal Imaging Through Scattering Media with a Volume Holographic Filter

Confocal Imaging Through Scattering Media with a Volume Holographic Filter Confocal Imaging Through Scattering Media with a Volume Holographic Filter Michal Balberg +, George Barbastathis*, Sergio Fantini % and David J. Brady University of Illinois at Urbana-Champaign, Urbana,

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

PROCEEDINGS OF A SYMPOSIUM HELD AT THE CAVENDISH LABORATORY, CAMBRIDGE, Edited by

PROCEEDINGS OF A SYMPOSIUM HELD AT THE CAVENDISH LABORATORY, CAMBRIDGE, Edited by X - R A Y M I C R O S C O P Y A N D M I C R O R A D I O G R A P H Y PROCEEDINGS OF A SYMPOSIUM HELD AT THE CAVENDISH LABORATORY, CAMBRIDGE, 1956 Edited by V. E. COSSLETT Cavendish Laboratory, University

More information

Fabrication of micro structures on curve surface by X-ray lithography

Fabrication of micro structures on curve surface by X-ray lithography Fabrication of micro structures on curve surface by X-ray lithography Yigui Li 1, Susumu Sugiyama 2 Abstract We demonstrate experimentally the x-ray lithography techniques to fabricate micro structures

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

Exhibit 2 Declaration of Dr. Chris Mack

Exhibit 2 Declaration of Dr. Chris Mack STC.UNM v. Intel Corporation Doc. 113 Att. 5 Exhibit 2 Declaration of Dr. Chris Mack Dockets.Justia.com UNITED STATES DISTRICT COURT DISTRICT OF NEW MEXICO STC.UNM, Plaintiff, v. INTEL CORPORATION Civil

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

Electron Beam Lithography. Adam Ramm

Electron Beam Lithography. Adam Ramm Electron Beam Lithography Adam Ramm Why use electrons? Negligible diffraction limitations: R = k λ NA With current optical technology, this equates to about 45nm resolution. For an electron, wavelength

More information

Near-field optical photomask repair with a femtosecond laser

Near-field optical photomask repair with a femtosecond laser Journal of Microscopy, Vol. 194, Pt 2/3, May/June 1999, pp. 537 541. Received 6 December 1998; accepted 9 February 1999 Near-field optical photomask repair with a femtosecond laser K. LIEBERMAN, Y. SHANI,

More information

Ion Beam Lithography next generation nanofabrication

Ion Beam Lithography next generation nanofabrication Ion Beam Lithography next generation nanofabrication EFUG Bordeaux 2011 ion beams develop Lloyd Peto IBL sales manager Copyright 2011 by Raith GmbH ionline new capabilities You can now Apply an ion beam

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

Kit for building your own THz Time-Domain Spectrometer

Kit for building your own THz Time-Domain Spectrometer Kit for building your own THz Time-Domain Spectrometer 16/06/2016 1 Table of contents 0. Parts for the THz Kit... 3 1. Delay line... 4 2. Pulse generator and lock-in detector... 5 3. THz antennas... 6

More information

Ion Beam Lithography: faster writing strategies for features between 150nm and 1um

Ion Beam Lithography: faster writing strategies for features between 150nm and 1um Ion Beam Lithography: faster writing strategies for features between 150nm and 1um Brent P. Gila, Andes Trucco, David Hays Located in sunny Gainesville, FL (100 miles north of Disney World) https://nrf.aux.eng.ufl.edu/

More information

Vixar High Power Array Technology

Vixar High Power Array Technology Vixar High Power Array Technology I. Introduction VCSELs arrays emitting power ranging from 50mW to 10W have emerged as an important technology for applications within the consumer, industrial, automotive

More information

Introduction of New Products

Introduction of New Products Field Emission Electron Microscope JEM-3100F For evaluation of materials in the fields of nanoscience and nanomaterials science, TEM is required to provide resolution and analytical capabilities that can

More information

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS Daniel R. Sutton 5th Year Microelectronic Engineering Student Rochester Institute of Technology

More information

optical and photoresist effects

optical and photoresist effects Focus effects in submicron optical lithography, optical and photoresist effects Chris A. Mack and Patricia M. Kaufman Department of Defense Fort Meade, Maryland 20755 Abstract This paper gives a review

More information

Mirror-based pattern generation for maskless lithography

Mirror-based pattern generation for maskless lithography Microelectronic Engineering 73 74 (2004) 42 47 www.elsevier.com/locate/mee Mirror-based pattern generation for maskless lithography William G. Oldham *, Yashesh Shroff EECS Department, University of California,

More information

Lecture 22 Optical MEMS (4)

Lecture 22 Optical MEMS (4) EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie Lecture 22 Optical MEMS (4) Agenda: Refractive Optical Elements Microlenses GRIN Lenses Microprisms Reference: S. Sinzinger and J. Jahns,

More information

Energy beam processing and the drive for ultra precision manufacturing

Energy beam processing and the drive for ultra precision manufacturing Energy beam processing and the drive for ultra precision manufacturing An Exploration of Future Manufacturing Technologies in Response to the Increasing Demands and Complexity of Next Generation Smart

More information

Precision microcomb design and fabrication for x-ray optics assembly

Precision microcomb design and fabrication for x-ray optics assembly Precision microcomb design and fabrication for x-ray optics assembly Yanxia Sun, a) Ralf K. Heilmann, b) Carl G. Chen, Craig R. Forest, and Mark L. Schattenburg Space Nanotechnology Laboratory, Center

More information

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs Andrea Kroner We present 85 nm wavelength top-emitting vertical-cavity surface-emitting lasers (VCSELs) with integrated photoresist

More information

THICK-FILM LASER TRIMMING PRINCIPLES, TECHNIQUES

THICK-FILM LASER TRIMMING PRINCIPLES, TECHNIQUES Electrocomponent Science and Technology, 1981, Vol. 9, pp. 9-14 0305,3091/81/0901-0009 $06.50/0 (C) 1981 Gordon and Breach Science Publishers, Inc. Printed in Great Britain THICK-FILM LASER TRIMMING PRINCIPLES,

More information

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith 3. Spatial-Phase-Locked Electron-Beam Lithography Sponsors: No external sponsor Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Integrated Photonics based on Planar Holographic Bragg Reflectors

Integrated Photonics based on Planar Holographic Bragg Reflectors Integrated Photonics based on Planar Holographic Bragg Reflectors C. Greiner *, D. Iazikov and T. W. Mossberg LightSmyth Technologies, Inc., 86 W. Park St., Ste 25, Eugene, OR 9741 ABSTRACT Integrated

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Lab Report 3: Speckle Interferometry LIN PEI-YING, BAIG JOVERIA

Lab Report 3: Speckle Interferometry LIN PEI-YING, BAIG JOVERIA Lab Report 3: Speckle Interferometry LIN PEI-YING, BAIG JOVERIA Abstract: Speckle interferometry (SI) has become a complete technique over the past couple of years and is widely used in many branches of

More information

Simple interferometric fringe stabilization by CCD-based feedback control

Simple interferometric fringe stabilization by CCD-based feedback control Simple interferometric fringe stabilization by CCD-based feedback control Preston P. Young and Purnomo S. Priambodo, Department of Electrical Engineering, University of Texas at Arlington, P.O. Box 19016,

More information

Graded-Index Core Polymer Optical Waveguide for High-bandwidth-density On-Board Interconnect

Graded-Index Core Polymer Optical Waveguide for High-bandwidth-density On-Board Interconnect European Cluster for Optical Interconnects (ECO) Workshop Sep. 25, 2013 Graded-Index Core Polymer Optical Waveguide for High-bandwidth-density On-Board Interconnect Takaaki Ishigure Faculty of Science

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Rudolph s JetStep Lithography System Maximizes Throughput while Addressing the Specific Challenges of Advanced Packaging Applications

Rudolph s JetStep Lithography System Maximizes Throughput while Addressing the Specific Challenges of Advanced Packaging Applications Rudolph s JetStep Lithography System Maximizes Throughput while Addressing the Specific Challenges of Advanced Packaging Applications Elvino da Silveira - Rudolph Technologies, Inc. ABSTRACT Rudolph s

More information

The diffraction of light

The diffraction of light 7 The diffraction of light 7.1 Introduction As introduced in Chapter 6, the reciprocal lattice is the basis upon which the geometry of X-ray and electron diffraction patterns can be most easily understood

More information

Innovative Mask Aligner Lithography for MEMS and Packaging

Innovative Mask Aligner Lithography for MEMS and Packaging Innovative Mask Aligner Lithography for MEMS and Packaging Dr. Reinhard Voelkel CEO SUSS MicroOptics SA September 9 th, 2010 1 SUSS Micro-Optics SUSS MicroOptics is a leading supplier for high-quality

More information

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801 Comparative study of self-aligned and nonself-aligned SiGe p-metal oxide semiconductor modulation-doped field effect transistors with nanometer gate lengths Wu Lu Department of Electrical and Computer

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

CD-SEM for 65-nm Process Node

CD-SEM for 65-nm Process Node CD-SEM for 65-nm Process Node 140 CD-SEM for 65-nm Process Node Hiroki Kawada Hidetoshi Morokuma Sho Takami Mari Nozoe OVERVIEW: Inspection equipment for 90-nm and subsequent process nodes is required

More information

KrF EXCIMER LASER LITHOGRAPHY TECHNOLOGY FOR 64MDRAM

KrF EXCIMER LASER LITHOGRAPHY TECHNOLOGY FOR 64MDRAM Journa' of Photopolymer Science and Technology Volume 4, Number 3 (1991) 361-369 KrF EXCIMER LASER LITHOGRAPHY TECHNOLOGY FOR 64MDRAM MASAYUKI ENDO, YOSHIYUKI TAM, TOSHIKI YABU, SHOZO OKADA MASARU SASAGO

More information

Proposal of Novel Collector Structure for Thin-wafer IGBTs

Proposal of Novel Collector Structure for Thin-wafer IGBTs 12 Special Issue Recent R&D Activities of Power Devices for Hybrid ElectricVehicles Research Report Proposal of Novel Collector Structure for Thin-wafer IGBTs Takahide Sugiyama, Hiroyuki Ueda, Masayasu

More information

LIQUID CRYSTAL LENSES FOR CORRECTION OF P ~S~YOP

LIQUID CRYSTAL LENSES FOR CORRECTION OF P ~S~YOP LIQUID CRYSTAL LENSES FOR CORRECTION OF P ~S~YOP GUOQIANG LI and N. PEYGHAMBARIAN College of Optical Sciences, University of Arizona, Tucson, A2 85721, USA Email: gli@ootics.arizt~ii~.e~i~ Correction of

More information

attocfm I for Surface Quality Inspection NANOSCOPY APPLICATION NOTE M01 RELATED PRODUCTS G

attocfm I for Surface Quality Inspection NANOSCOPY APPLICATION NOTE M01 RELATED PRODUCTS G APPLICATION NOTE M01 attocfm I for Surface Quality Inspection Confocal microscopes work by scanning a tiny light spot on a sample and by measuring the scattered light in the illuminated volume. First,

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

APPLICATIONS FOR TELECENTRIC LIGHTING

APPLICATIONS FOR TELECENTRIC LIGHTING APPLICATIONS FOR TELECENTRIC LIGHTING Telecentric lenses used in combination with telecentric lighting provide the most accurate results for measurement of object shapes and geometries. They make attributes

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

Extreme Ultraviolet Lithography for 0.1 pm Devices

Extreme Ultraviolet Lithography for 0.1 pm Devices UCRL-JC-133192 Rev 1 PREPRINT Extreme Ultraviolet Lithography for 0.1 pm Devices S. Vaidya D. Sweeney R. Stulen D. Attwood This paper was prepared for submittal to the 1999 International Symposium on VLSI

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

0.1 flm x-ray mask replication

0.1 flm x-ray mask replication 0.1 flm x-ray mask replication M. Gentili, R. Kumar,a) l. Luciani, and l. Grella Istituto di Elettronica della Stato Solido-CNR, Via Cineto Romano 42, Roma, Italy D. Plumb and Q. Leonard Center for X-ray

More information

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE Executive Summary Jay Sasserath, PhD Intelligent Micro Patterning LLC St. Petersburg, Florida Processing

More information

The spectral colours of nanometers

The spectral colours of nanometers Reprint from the journal Mikroproduktion 3/2005 Berthold Michelt and Jochen Schulze The spectral colours of nanometers Precitec Optronik GmbH Raiffeisenstraße 5 D-63110 Rodgau Phone: +49 (0) 6106 8290-14

More information

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing Keith Best, Gurvinder Singh, and Roger McCleary Rudolph Technologies, Inc. 16 Jonspin Rd. Wilmington,

More information

Contrast Enhancement Materials CEM 365HR

Contrast Enhancement Materials CEM 365HR INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. including their Contrast Enhancement Material (CEM) technology business*. A concentrated effort in the technology advancement of a CEM led

More information