Ion Beam Lithography: faster writing strategies for features between 150nm and 1um

Size: px
Start display at page:

Download "Ion Beam Lithography: faster writing strategies for features between 150nm and 1um"

Transcription

1 Ion Beam Lithography: faster writing strategies for features between 150nm and 1um Brent P. Gila, Andes Trucco, David Hays Located in sunny Gainesville, FL (100 miles north of Disney World) 1

2 Ion beam lithography overview Patterning strategies are to separate features based upon size to minimize exposure time. Lithography processing gap: Affordable lithographic processes for average university facilities are contact aligners (and projection aligners) and SEM pattern generator attachments. These cover >1um and <1um respectively. Optical exposures are parallel and fast, electron beam exposures are serial and slow. Ion beam lithography can provide gap coverage between the 200nm and 1um feature range. This is a serial process like electron beam lithography. However the ion exposure required for the resists are 10 times lower, so the writing time is greatly reduced compared to electron beam lithography. 2

3 Raith ionline System Overview 100 mm laser interferometer stage 60nm stitch and overlay, 1nm min step NanoFIB TM ion column 15-40keV, 0.5pA-1nA, current stability <1%/hr Multi-species ion sources available Currently Au-Si 20 MHz, 16 bit digital pattern generator dedicated software for lithography and nano engineering Ion beam centric with high resolution small WD, compact optics Normal incidence precise and stable navigation High beam stability

4 Ion beam lithography overview Resists under go chain scission in e-beam lithography. Due to a large mass, ions create more chain scission events compared to the same dose of electrons. Typical exposures required for ion beam lithography are 2-10 uc/cm 2 compared to uC/cm2 for electron beam lithography. 30kV ion simulated in PMMA by SRIM Si+ Ga+ Au+ 4

5 Ion beam lithography overview Depth of resist exposure is dependent upon ion kv, but high kv does not lead to higher aspect ratio structures, only deeper exposures for thicker resists. Ion scattering in PMMA remains large. Si+ ion simulated in PMMA by SRIM 20kV 30kV 40kV 100nm resist 5

6 Ion beam lithography overview Simulations of incident ions only provide part of the total damage picture. When the recoiled atoms are include in the simulation, the cascade damage is much larger and thus the large minimum resolution for the ion beam exposure. 40kV Si+ 40kV Si+ H C O 6

7 Ion beam lithography overview Si ion beam exposure in 300nm PMMA has the same profile as the simulation. To ensure proper clearing dose and not implant/damage the substrate, must us a sacrificial stopping layer under the PMMA. Typical stopping layer employed is 200nm LOR. 7

8 Ga+ FBMS PMMA resist exposures 0.1mm/sec Patterning 50um PMMA over 300nm LOR with 6pA Ga+ 30kV, 0.25mm/sec 0.5mm/sec Increasing stage speed to lower exposure dose. Very easy to overexpose and crosslink. 1.0mm/sec

9 Si+ dose PMMA resist exposures 40kV, 2uC/cm2, 100nm PMMA on 200nm LOR 200nm design 400nm design 800nm design 600nm design 1000nm design 9

10 Si+ area dose PMMA resist exposures 2uC/cm2 4uC/cm2 6uC/cm2 8uC/cm2 10uC/cm2 15uC/cm2 10

11 Si+ area dose PMMA resist exposures Over exposures to PMMA do not lead to milling, but severe crosslinking. 65uC/cm 2 70uC/cm 2 11

12 Au+ area dose PMMA resist exposures 4uC/cm2 6uC/cm2 8uC/cm2 10uC/cm2 20uC/cm2 Onset of PMMA crosslinking noticed at 6uC/cm2. Complete crosslinking at 20uC/cm2. 12

13 Additional resist exposures Extend process to use conventional/commonly available resists. Use thinned nlof (an AZ negative resist) to create features. Si+ 40 kv, 2uC/cm 2, very low dose required to expose the resist. 13

14 Summary of ion beam lithography The use of ion beams to expose resist provides: 10x increase in write speed over electron beam exposures due to higher polymer chain scission (cascade damage) Correct exposure is ion dose and ion species dependent Use of standard resists and known processes (positive and negative) 3 rd party companies are now supporting attachments for FIBs Meets the need of patterning 200nm to 1000nm features at a fast rate. HIGH-THROUGHPUT FOCUSED-ION- BEAM LITHOGRAPHY USING DOUBLY CHARGED IONS; N. Garraud et al., presented at ENRI

Ion Beam Lithography next generation nanofabrication

Ion Beam Lithography next generation nanofabrication Ion Beam Lithography next generation nanofabrication EFUG Bordeaux 2011 ion beams develop Lloyd Peto IBL sales manager Copyright 2011 by Raith GmbH ionline new capabilities You can now Apply an ion beam

More information

Introduction of New Products

Introduction of New Products Field Emission Electron Microscope JEM-3100F For evaluation of materials in the fields of nanoscience and nanomaterials science, TEM is required to provide resolution and analytical capabilities that can

More information

Basics and applications in nanolithography. E-beam lithography. David López-Romero CRESTEC-ISOM JACA CRESTEC Corp.

Basics and applications in nanolithography. E-beam lithography. David López-Romero CRESTEC-ISOM JACA CRESTEC Corp. Basics and applications in nanolithography E-beam lithography David López-Romero CRESTEC-ISOM JACA 2018 CRESTEC Corp. OUTLINE Presentation. E-beam lithography system basics. E-beam lithography technic

More information

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils EG2605 Undergraduate Research Opportunities Program Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils Tan Chuan Fu 1, Jeroen Anton van Kan 2, Pattabiraman Santhana Raman 2, Yao

More information

Demo Pattern and Performance Test

Demo Pattern and Performance Test Raith GmbH Hauert 18 Technologiepark D-44227 Dortmund Phone: +49(0)231/97 50 00-0 Fax: +49(0)231/97 50 00-5 Email: postmaster@raith.de Internet: www.raith.com Demo Pattern and Performance Test For Raith

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Triple Beam FIB-SEM-Ar(Xe) Combined System NX2000

Triple Beam FIB-SEM-Ar(Xe) Combined System NX2000 SCIENTIFIC INSTRUMENT NEWS 2017 Vol. 8 M A R C H Technical magazine of Electron Microscope and Analytical Instruments. Technical Explanation Triple Beam FIB-SEM-Ar(Xe) Combined System NX2000 Masahiro Kiyohara

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information

Fabrication of micro structures on curve surface by X-ray lithography

Fabrication of micro structures on curve surface by X-ray lithography Fabrication of micro structures on curve surface by X-ray lithography Yigui Li 1, Susumu Sugiyama 2 Abstract We demonstrate experimentally the x-ray lithography techniques to fabricate micro structures

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Shadow Printing Photomask

More information

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey Clean Room Technology Optical Lithography Lithography I If the automobile had followed the same development cycle as the computer, a Rolls Royce would today cost $100, get a million miles per gallon, and

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

Module 11: Photolithography. Lecture11: Photolithography - I

Module 11: Photolithography. Lecture11: Photolithography - I Module 11: Photolithography Lecture11: Photolithography - I 1 11.0 Photolithography Fundamentals We will all agree that incredible progress is happening in the filed of electronics and computers. For example,

More information

Lecture 22 Optical MEMS (4)

Lecture 22 Optical MEMS (4) EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie Lecture 22 Optical MEMS (4) Agenda: Refractive Optical Elements Microlenses GRIN Lenses Microprisms Reference: S. Sinzinger and J. Jahns,

More information

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith 3. Spatial-Phase-Locked Electron-Beam Lithography Sponsors: No external sponsor Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry

More information

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc.

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc. All-Glass Gray Scale PhotoMasks Enable New Technologies Che-Kuang (Chuck) Wu Canyon Materials, Inc. 1 Overview All-Glass Gray Scale Photomask technologies include: HEBS-glasses and LDW-glasses HEBS-glass

More information

Electron Beam Lithography. Adam Ramm

Electron Beam Lithography. Adam Ramm Electron Beam Lithography Adam Ramm Why use electrons? Negligible diffraction limitations: R = k λ NA With current optical technology, this equates to about 45nm resolution. For an electron, wavelength

More information

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production DTU Danchip National Center for Micro- and Nanofabrication DTU Danchip DTU Danchip is Denmark

More information

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith 9. Interference Lithography Sponsors: National Science Foundation, DMR-0210321; Dupont Agreement 12/10/99 Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg,

More information

Acceleration of EUV Resist Development with EB Tool

Acceleration of EUV Resist Development with EB Tool C/N:1220710068 Acceleration of Resist Development with Tool Takeyoshi Mimura, Takako Suzuki, Makiko Irie, Takeshi Iwai TOKYO OHKA KOGYO CO., LTD. Advanced Material Development Division 1 2007 L Symposium

More information

Nanofabrication technologies: high-throughput for tomorrow s metadevices

Nanofabrication technologies: high-throughput for tomorrow s metadevices Nanofabrication technologies: high-throughput for tomorrow s metadevices Rob Eason Ben Mills, Matthias Feinaugle, Dan Heath, David Banks, Collin Sones, James Grant-Jacob, Ioannis Katis. Fabrication fundamentals

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Innovative Mask Aligner Lithography for MEMS and Packaging

Innovative Mask Aligner Lithography for MEMS and Packaging Innovative Mask Aligner Lithography for MEMS and Packaging Dr. Reinhard Voelkel CEO SUSS MicroOptics SA September 9 th, 2010 1 SUSS Micro-Optics SUSS MicroOptics is a leading supplier for high-quality

More information

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626 OPTI510R: Photonics Khanh Kieu College of Optical Sciences, University of Arizona kkieu@optics.arizona.edu Meinel building R.626 Announcements Homework #3 is due today No class Monday, Feb 26 Pre-record

More information

NANO MODIFICATION OF THE W(100)/ZrO ELECTRON EMITTER TIP USING REACTIVE ION ETCHING

NANO MODIFICATION OF THE W(100)/ZrO ELECTRON EMITTER TIP USING REACTIVE ION ETCHING NANO MODIFICATION OF THE W(100)/ZrO ELECTRON EMITTER TIP USING REACTIVE ION ETCHING Miroslav HORÁČEK, František MATĚJKA, Vladimír KOLAŘÍK, Milan MATĚJKA, Michal URBÁNEK Ústav přístrojové techniky AV ČR,

More information

Fabrication Techniques of Optical ICs

Fabrication Techniques of Optical ICs Fabrication Techniques of Optical ICs Processing Techniques Lift off Process Etching Process Patterning Techniques Photo Lithography Electron Beam Lithography Photo Resist ( Microposit MP1300) Electron

More information

Introduction of ADVANTEST EB Lithography System

Introduction of ADVANTEST EB Lithography System Introduction of ADVANTEST EB Lithography System Nanotechnology Business Division ADVANTEST Corporation 1 2 Node [nm] EB Lithography Products < ADVANTEST s Superiority > High Resolution :EB optical technology

More information

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Ivan Lalovic, Rajasekhar Rao, Slava Rokitski, John Melchior, Rui Jiang,

More information

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM Stanislav KRÁTKÝ a, Vladimír KOLAŘÍK a, Milan MATĚJKA a, Michal URBÁNEK a, Miroslav HORÁČEK a, Jana

More information

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley Technische Universität Graz Institute of Solid State Physics Lithography Peter Hadley http://www.cleanroom.byu.edu/virtual_cleanroom.parts/lithography.html http://www.cleanroom.byu.edu/su8.phtml Spin coater

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

MLA 150 (DLA) Presentation and examples. Théophane Besson, , Heidelberg Instruments GmbH 1

MLA 150 (DLA) Presentation and examples. Théophane Besson, , Heidelberg Instruments GmbH 1 MLA 150 (DLA) Presentation and examples Théophane Besson, 17.03.2015, Heidelberg Instruments GmbH 1 Presentation of the tool The MLA 150 (named DLA in the past) is a new generation Maskless Aligner developed

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Michal URBÁNEK, Milan MATĚJKA, Jana CHLUMSKÁ, Miroslav HORÁČEK, Institute of Scientific Instruments of the

More information

Waveguiding in PMMA photonic crystals

Waveguiding in PMMA photonic crystals ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 12, Number 3, 2009, 308 316 Waveguiding in PMMA photonic crystals Daniela DRAGOMAN 1, Adrian DINESCU 2, Raluca MÜLLER2, Cristian KUSKO 2, Alex.

More information

A process for, and optical performance of, a low cost Wire Grid Polarizer

A process for, and optical performance of, a low cost Wire Grid Polarizer 1.0 Introduction A process for, and optical performance of, a low cost Wire Grid Polarizer M.P.C.Watts, M. Little, E. Egan, A. Hochbaum, Chad Jones, S. Stephansen Agoura Technology Low angle shadowed deposition

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

Energy beam processing and the drive for ultra precision manufacturing

Energy beam processing and the drive for ultra precision manufacturing Energy beam processing and the drive for ultra precision manufacturing An Exploration of Future Manufacturing Technologies in Response to the Increasing Demands and Complexity of Next Generation Smart

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

Tabletop-scale EUV coherent imaging using High Harmonic Light

Tabletop-scale EUV coherent imaging using High Harmonic Light Tabletop-scale EUV coherent imaging using High Harmonic Light Henry C. Kapteyn KMLabs Inc. and JILA SEM HHG CDI Talk overview Tabletop coherent EUV light sources high-order harmonic generation. Revolution

More information

Pulsed Laser Ablation of Polymers for Display Applications

Pulsed Laser Ablation of Polymers for Display Applications Pulsed Laser Ablation of Polymers for Display Applications James E.A Pedder 1, Andrew S. Holmes 2, Heather J. Booth 1 1 Oerlikon Optics UK Ltd, Oxford Industrial Estate, Yarnton, Oxford, OX5 1QU, UK 2

More information

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers. Copyright 998 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 8 th Annual BACUS Symposium on Photomask Technology and Management SPIE Vol.

More information

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG SEMATECH Workshop on Maskless Lithography San Francisco, CA Dec 14 2008 PML2 Projection Mask-Less Lithography The mask-less electron multi-beam solution for the 22nm node and beyond AG Projection Mask-Less

More information

BI-LAYER DEEP UV RESIST SYSTEM. Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

BI-LAYER DEEP UV RESIST SYSTEM. Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT INTRODUCTION BI-LAYER DEEP UV RESIST SYSTEM Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT A portable conformable mask (PCM) system employing KTIS2O

More information

Laser patterning and projection lithography

Laser patterning and projection lithography Introduction to Nanofabrication Techniques: Laser patterning and projection lithography Benjamin Johnston Macquarie University David O Connor Bandwidth Foundry - USYD The OptoFab node of ANFF Broad ranging

More information

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Yukinori Ochiai, Takashi Ogura, Mitsuru Narihiro, and Kohichi Arai Silicon Systems Research Laboratories,

More information

Fabrication of Probes for High Resolution Optical Microscopy

Fabrication of Probes for High Resolution Optical Microscopy Fabrication of Probes for High Resolution Optical Microscopy Physics 564 Applied Optics Professor Andrès La Rosa David Logan May 27, 2010 Abstract Near Field Scanning Optical Microscopy (NSOM) is a technique

More information

Optimization of PMMA 950KA4 resist patterns using Electron Beam Lithography

Optimization of PMMA 950KA4 resist patterns using Electron Beam Lithography CeNSE restricted NNFC-TN 2017/001 Technical Note CENSE-NNFC-2017/001 Issued: 03/2017 Optimization of PMMA 950KA4 resist patterns using Electron Beam Lithography Sreedhar Babu, Anita CeNSE, NNFC, Indian

More information

Innovative Technologies for RF & Power Applications

Innovative Technologies for RF & Power Applications Innovative Technologies for RF & Power Applications > Munich > Nov 14, 2017 1 Key Technologies Key Technologies Veeco Market Focus Advanced Packaging, MEMS & RF Lighting, Display & Power Electronics Lithography

More information

Figure 1 The Raith 150 TWO

Figure 1 The Raith 150 TWO RAITH 150 TWO SOP Figure 1 The Raith 150 TWO LOCATION: Raith 150 TWO room, Lithography area, NanoFab PRIMARY TRAINER: SECONDARY TRAINER: 1. OVERVIEW The Raith 150 TWO is an ultra high resolution, low voltage

More information

High Spectral Resolution Plasmonic Color Filters with Subwavelength Dimensions Supplemental Information

High Spectral Resolution Plasmonic Color Filters with Subwavelength Dimensions Supplemental Information High Spectral Resolution Plasmonic Color Filters with Subwavelength Dimensions Supplemental Information Dagny Fleischman 1, Katherine T. Fountaine 2, Colton R. Bukowsky 1, Giulia Tagliabue 1, Luke A. Sweatlock

More information

Norsam Technologies, Inc. Ultra-High Density Analog and Digital Data Storage

Norsam Technologies, Inc. Ultra-High Density Analog and Digital Data Storage Norsam Technologies, Inc. Ultra-High Density Analog and Digital Data Storage Digital and Analog Technology HD-ROM Charged particle technology HD-Rosetta Norsam HD-Rosetta Technology A complete solution

More information

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP Casey Donaher, Rudolph Technologies Herbert J. Thompson, Rudolph Technologies Chin Tiong Sim, Rudolph Technologies Rudolph

More information

EUV Light Source The Path to HVM Scalability in Practice

EUV Light Source The Path to HVM Scalability in Practice EUV Light Source The Path to HVM Scalability in Practice Harald Verbraak et al. (all people at XTREME) 2011 International Workshop on EUV and Soft X-ray Sources Nov. 2011 Today s Talk o LDP Technology

More information

Supplementary Figure 1: Optical Properties of V-shaped Gold Nanoantennas a) Illustration of the possible plasmonic modes.

Supplementary Figure 1: Optical Properties of V-shaped Gold Nanoantennas a) Illustration of the possible plasmonic modes. Supplementary Figure 1: Optical Properties of V-shaped Gold Nanoantennas a) Illustration of the possible plasmonic modes. S- symmetric, AS antisymmetric. b) Calculated linear scattering spectra of individual

More information

Generating integrated-circuit patterns via cutting and stitching of gratings

Generating integrated-circuit patterns via cutting and stitching of gratings Purdue University Purdue e-pubs Birck and NCN Publications Birck Nanotechnology Center 11-2009 Generating integrated-circuit patterns via cutting and stitching of gratings Lin Zhao Purdue University -

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

RAITH e-line OPERATING INSTRUCTIONS

RAITH e-line OPERATING INSTRUCTIONS RAITH e-line OPERATING INSTRUCTIONS 1) LOADING A SAMPLE a. Start the system i. On the Column PC (Right side monitor [R]), select the SmartSEM icon to on the desktop to begin the column software. ii. On

More information

Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays. Keith Best Roger McCleary Elvino M da Silveira 5/19/17

Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays. Keith Best Roger McCleary Elvino M da Silveira 5/19/17 Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays Keith Best Roger McCleary Elvino M da Silveira 5/19/17 Agenda About Rudolph JetStep G System overview and performance Display

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

Nano-structured superconducting single-photon detector

Nano-structured superconducting single-photon detector Nano-structured superconducting single-photon detector G. Gol'tsman *a, A. Korneev a,v. Izbenko a, K. Smirnov a, P. Kouminov a, B. Voronov a, A. Verevkin b, J. Zhang b, A. Pearlman b, W. Slysz b, and R.

More information

EE 143 Microfabrication Technology Fall 2014

EE 143 Microfabrication Technology Fall 2014 EE 143 Microfabrication Technology Fall 2014 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 EE 143: Microfabrication

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Pattern Transfer CD-AFM. Resist Features on Poly. Poly Features on Oxide. Quate Group, Stanford University

Pattern Transfer CD-AFM. Resist Features on Poly. Poly Features on Oxide. Quate Group, Stanford University Resist Features on Poly Pattern Transfer Poly Features on Oxide CD-AFM The Critical Dimension AFM Boot -Shaped Tip Tip shape is optimized to sense topography on vertical surfaces Two-dimensional feedback

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

Supporting Information 1. Experimental

Supporting Information 1. Experimental Supporting Information 1. Experimental The position markers were fabricated by electron-beam lithography. To improve the nanoparticle distribution when depositing aqueous Ag nanoparticles onto the window,

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography UV direct laser writer for maskless lithography Unprecedented finesse in creating 3D micro structures Highest resolution in the market utilizing a 405 nm diode laser Structures as small as 300 nm 375 nm

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

Deliverable 4.2: TEM cross sections on prototyped Gated Resistors

Deliverable 4.2: TEM cross sections on prototyped Gated Resistors Deliverable 4.2: TEM cross sections on prototyped Gated Resistors Olga G. Varona, Geoff Walsh, Bernie Capraro Intel Ireland 21 June 2011 Abbreviation list D: drain FIB: focused ion-beam HRTEM: high resolution

More information

Development of X-ray Tool For Critical- Dimension Metrology

Development of X-ray Tool For Critical- Dimension Metrology Development of X-ray Tool For Critical- Dimension Metrology Boris Yokhin, Alexander Krokhmal, Alexander Dikopoltsev, David Berman, Isaac Mazor Jordan Valley Semiconductors Ltd., Ramat Gabriel Ind. Zone,

More information

SCIENTIFIC INSTRUMENT NEWS. Introduction. Design of the FlexSEM 1000

SCIENTIFIC INSTRUMENT NEWS. Introduction. Design of the FlexSEM 1000 SCIENTIFIC INSTRUMENT NEWS 2017 Vol. 9 SEPTEMBER Technical magazine of Electron Microscope and Analytical Instruments. Technical Explanation The FlexSEM 1000: A Scanning Electron Microscope Specializing

More information

Supporting Information. Air-stable surface charge transfer doping of MoS 2 by benzyl viologen

Supporting Information. Air-stable surface charge transfer doping of MoS 2 by benzyl viologen Supporting Information Air-stable surface charge transfer doping of MoS 2 by benzyl viologen Daisuke Kiriya,,ǁ, Mahmut Tosun,,ǁ, Peida Zhao,,ǁ, Jeong Seuk Kang, and Ali Javey,,ǁ,* Electrical Engineering

More information

SHAPED E-BEAM NANOPATTERNING WITH PROXIMITY EFFECT CORRECTION

SHAPED E-BEAM NANOPATTERNING WITH PROXIMITY EFFECT CORRECTION SHAPED E-BEAM NANOPATTERNING WITH PROXIMITY EFFECT CORRECTION Michal URBANEK a, Vladimir KOLARIK a, Milan MATEJKA a, Frantisek MATEJKA a, Jan BOK a, Petr MIKSIK b, Jan VASINA b a) ISI ASCR,v.v.i., Kralovopolska

More information

CHAPTER 2 Principle and Design

CHAPTER 2 Principle and Design CHAPTER 2 Principle and Design The binary and gray-scale microlens will be designed and fabricated. Silicon nitride and photoresist will be taken as the material of the microlens in this thesis. The design

More information

The TSIS Spectral Irradiance Monitor: Prism Optical Degradation Studies

The TSIS Spectral Irradiance Monitor: Prism Optical Degradation Studies The TSIS Spectral Irradiance Monitor: Prism Optical Degradation Studies Lo Erik Richard, Dave Harber, Joel Rutkowski, Matt Triplett, Kasandra O Malia Laboratory for Atmospheric and Space Physics (LASP)

More information

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA Fab-in in-a-box: Direct-write write-nanocircuits Jaebum Joo and Joseph M. Jacobson Massachusetts Institute of Technology, Cambridge, MA April 17, 2008 Avogadro Scale Computing / 1 Avogadro number s? Intel

More information

Strata DB235 FESEM FIB

Strata DB235 FESEM FIB Strata DB235 FESEM FIB Standard Operating Procedure Revision: 5.0 Last Updated: August 16/2016, revised by Li Yang Overview This document will provide a detailed operation procedure of the Focused Ion

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Advanced Patterning Techniques for 22nm HP and beyond

Advanced Patterning Techniques for 22nm HP and beyond Advanced Patterning Techniques for 22nm HP and beyond An Overview IEEE LEOS (Bay Area) Yashesh A. Shroff Intel Corporation Aug 4 th, 2009 Outline The Challenge Advanced (optical) lithography overview Flavors

More information

Electron scattering distribution in InP at 50 kv

Electron scattering distribution in InP at 50 kv Electron scattering distribution in InP at 50 kv D. M. Tennant, G. E. Doran, R. E. Howard, and J. S. Denker AT&TBell Laboratories, Holmdel, New Jersey 07733 (Received 27 May 1987; accepted 19 August 1987)

More information

Lecture 8. Microlithography

Lecture 8. Microlithography Lecture 8 Microlithography Lithography Introduction Process Flow Wafer Exposure Systems Masks Resists State of the Art Lithography Next Generation Lithography (NGL) Recommended videos: http://www.youtube.com/user/asmlcompany#p/search/1/jh6urfqt_d4

More information

New CD-SEM System for 100-nm Node Process

New CD-SEM System for 100-nm Node Process New CD-SEM System for 100-nm Node Process Hitachi Review Vol. 51 (2002), No. 4 125 Osamu Nasu Katsuhiro Sasada Mitsuji Ikeda Makoto Ezumi OVERVIEW: With the semiconductor device manufacturing industry

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

Parallel Alignment of Nanowires for Fast Fabrication of Nanowire Based Gas Sensors

Parallel Alignment of Nanowires for Fast Fabrication of Nanowire Based Gas Sensors Parallel Alignment of Nanowires for Fast Fabrication of Nanowire Based Gas Sensors R. Jiménez-Díaz 1, J.D. Prades 1 F. Hernández-Ramírez, J. Santander 3 C. Calaza 3, L. Fonseca 3, C. Cané 3 A. Romano-Rodriguez

More information

Development of Nanoimprint Mold Using JBX-9300FS

Development of Nanoimprint Mold Using JBX-9300FS Development of Nanoimprint Mold Using JBX-9300FS Morihisa Hoga, Mikio Ishikawa, Naoko Kuwahara Tadahiko Takikawa and Shiho Sasaki Dai Nippon Printing Co., Ltd Research & Development Center Electronic Device

More information

Monitoring of Galvanic Replacement Reaction. between Silver Nanowires and HAuCl 4 by In-Situ. Transmission X-Ray Microscopy

Monitoring of Galvanic Replacement Reaction. between Silver Nanowires and HAuCl 4 by In-Situ. Transmission X-Ray Microscopy Supporting Information Monitoring of Galvanic Replacement Reaction between Silver Nanowires and HAuCl 4 by In-Situ Transmission X-Ray Microscopy Yugang Sun *, and Yuxin Wang Center for Nanoscale Materials

More information

Supplementary information for

Supplementary information for Supplementary information for A fast and low power microelectromechanical system based nonvolatile memory device Sang Wook Lee, Seung Joo Park, Eleanor E. B. Campbell & Yung Woo Park The supplementary

More information

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Robert. B. Bass, Jian. Z. Zhang and Aurthur. W. Lichtenberger Department of Electrical Engineering, University of

More information

Mass transfer with elastomer stamps for microled displays.

Mass transfer with elastomer stamps for microled displays. Frontiers in Assembly Mass transfer with elastomer stamps for microled displays. Matt Meitl X-Celeprint, Inc. mmeitl@x-celeprint.com 1 The best materials for the best displays The materials identify the

More information

BLUE SKY RESEARCH BLUE

BLUE SKY RESEARCH BLUE BLUE SKY RESEARCH Blue Sky Research is a company dedicated to providing the best possible balance of performance, value and quality. We have fielded over 1 million lasers since our inception in 1989, and

More information