The Development of Full Field High Resolution Imprint Templates

Size: px
Start display at page:

Download "The Development of Full Field High Resolution Imprint Templates"

Transcription

1 The Development of Full Field High Resolution Imprint Templates Shusuke Yoshitake 1, Hitoshi Sunaoshi 1, Kenichi Yasui 1, Hideo Kobayashi 2, Takashi Sato 2, Osamu Nagarekawa 2, Ecron Thompson 3, Gerard Schmid 3, Douglas J. Resnick 3 1 NuFlare Technology, Inc., 8, Shinsugita-cho, Isogo-ku, Yokohama , Japan 2 HOYA Corporation R&D Center Musashino,Akishima-shi,Tokyo Japan 3 Molecular Imprints, Inc, 1807-C West Braker Lane, Austin, TX USA Abstract Critical to the success of imprint lithography and Step and Flash Imprint Lithography (S-FIL ) in particular is the manufacturing 1X templates. Several commercial mask shops now accept orders for 1X templates. Recently, there have been several publications addressing the fabrication of templates with 32nm and sub 32nm half pitch dimensions using high resolution Gaussian beam pattern generators. Currently, these systems are very useful for unit process development and device prototyping. In this paper, we address the progress made towards full field templates suitable for the fabrication of CMOS circuits. The starting photoplate consisted of a Cr hard mask (< 15nm) followed by a thin imaging layer of ZEP 520A. The EBM-5000 and the EBM-6000 variable shape beam pattern generators from NuFlare Technology were used to pattern the images on the substrates. Several key specifications of the EBM-6000, resulting in improved performance over the EBM-5000 include higher current density (70 A/cm 2 ), astigmatism correction in the subfields, optimized variable stage speed control, and improved data handling to increase the maximum shot count limitation. To fabricate the template, the patterned resist serves as an etch mask for the thin Cr film. The Cr, in turn, is used as an etch block for the fused silica. A mesa is formed by etching the non-active areas using a wet buffered oxide etch (BOE) solution. The final step in the template process is a dice and polish step used to separate the plate into four distinct templates. Key steps in the fabrication process include the imaging and pattern processes. ZEP520A was chosen as the e-beam resist for its ability to resolve high resolution images. This paper documents the resolution and image placement capability with the processes described above. Although ZEP520A is slow relative to chemically amplified e-beam resists, it is only necessary to pattern 1/16 th the area relative to a 4X reduction mask. Write time calculations for 1X templates have also been performed, and are compared to 4X photomasks. Keywords: S-FIL, template, imprint lithography, full field, imprint mask 1. Introduction Small feature imprint lithography has existed for several years. The original technique involved the use of a patterned template which is impressed onto a thermo plastic material and, with the combination of heat and pressure, the pattern in the template was transferred to the substrate. Compact disks were one of the early applications for the technology. Recently the technique has been significantly improved with the development of Step and Flash Imprint Lithography (S-FIL ). 1 This technique involves deposition of a low viscosity monomer on the substrate, lowering a template into the fluid which then flows into the patterns of the template. Following this fill step, the monomer is exposed to UV light to cross-link it and convert it into a solid, and the template is removed leaving the solid pattern on the substrate. 2 The advantages of this development make it uniquely capable for CMOS applications. Critical to the success of the technology is the manufacturing 1X templates. Several commercial mask shops now accept orders for 1X templates. Recently, there have been several publications addressing the fabrication of templates with 32nm and sub 32nm half pitch dimensions using high resolution Gaussian beam pattern generators. 3,4 Currently, these systems are very useful for unit process development and device prototyping. In this paper, we address the progress made towards full field templates suitable for the fabrication of CMOS circuits.

2 The starting photoplate consisted of a Cr hard mask (< 15nm) followed by a thin imaging layer of ZEP 520A. The EBM-5000 and the EBM-6000 variable shape beam pattern generators from NuFlare Technology were used to pattern the images on the substrates. Several key specifications of the EBM-6000, resulting in improved performance over the EBM-5000 include higher current density (70 A/cm 2 ), astigmatism correction in the subfields, optimized variable stage speed control, and improved data handling to increase the maximum shot count limitation. To fabricate the template, the patterned resist serves as an etch mask for the thin Cr film. The Cr, in turn, is used as an etch block for the fused silica. A mesa is formed by etching the non-active areas using a wet buffered oxide etch (BOE) solution. The final step in the template process is a dice and polish step used to separate the plate into four distinct templates. Key steps in the fabrication process include the imaging and pattern processes. ZEP520A was chosen as the e-beam resist for its ability to resolve high resolution images. This paper documents the resolution and image placement capability with the processes described above. Although ZEP520A is slow relative to chemically amplified e-beam resists, it is only necessary to pattern 1/16 th the area relative to a 4X reduction mask. Write time calculations for 1X templates have also been performed, and are compared to 4X photomasks. 2. Experimental Details Both the EBM and EBM-6000 systems were used to generate patterns. Figure 1b displays the standard specifications of the EBM To enable high current density operation, a low aberration electro-optical system (EOS) based on the EBM-5000 EOS was adopted, as shown in Figure 1a. The Turret electron gun, in which multiple cathodes are nested, has been developed to reduce downtime. A 50 kv electron beam is irradiated onto the two apertures to generate 5 types of shaped images (rectangle and four kinds of triangles). The shaped aperture image is demagnified and focused onto the target. The beam position is controlled by an octapole electrostatic deflector to implement vector scanning of the beam. The max shot size is reduced to 0.8 µm square, to avoid both increased coulomb interactions in the column and resist heating effects. A small subfield size of 32 µm (64µm for the EBM-5000) and a newly developed astigmatism correction function improves the shot accuracy in sub-deflection field. The high speed blanker and its control system enable better than 0.1 % dose control accuracy. System Architecture Electron gun Acceleration voltage Current density Cathode 50 kv 70 A/cm 2 LaB 6 1 st shaping aperture Condenser lenses Maximum beam size 0.8 mm square Shaping deflectors Projector lenses Main deflection field size 512 mm 2 nd shaping aperture Sub deflection field size 32 mm Sub deflectors Main deflectors Objective lens Standard input data Data address unit VSB nm ~ 100 nm (0.1nm or 0.25nm increment) Reticle Image placement accuracy Local CD uniformity 8 nm (3s) 2.5 nm (3s, divided shot) Figure 1. a) Electro-optcial system (EOS) of the NuFlare EBM-6000 e-beam pattern generator. b) Key attributes of the system include increased current density and improved image placement accuracy.

3 The pattern used to tes t resolution is shown in Figure 2. Two basic test cells were employed. The first cell consisted of cleavable lines and arrays of both contacts and posts. Also incuded in this test pattern were arrays of mini-cd cells. Minimum feature size in each case was 32 nm. In addition, patterns were also written with varying amounts of feature bias. As an example, the 32 nm dense features were negatively biased anywhere from 0 to -16 nm in increments of -4 nm. The second test cell consisted of arrays of dense gratings, serpentines, Metal1 patterns, Logic patterns, and DRAM contact arrays. Again, various amounts of biasing were employed in the pattern layout. An eight pass writing strategy was used to expose the ZEP520A resist. After development, the chromium and fused silica were etched using Cl 2 /O 2 and fluorine-based chemistry, respectively. The details of the process are discussed in Reference x. Mesa lithography and a mesa etch process, followed by a dice and polish step were employed to create a finished 65 mm x 65 mm template. 12 Imprinting of the template pattern was performed by using a Molecular Imprints Imprio 250 imprint tool. A Drop- On-Demand method was employed to dispense the photo-polymerizable acrylate based imprint solution in field locations across a 200 mm silicon wafer. The template was then lowered into liquid-contact with the substrate, displacing the solution and filling the imprint field. UV irradiation through the backside of the template cured the acrylate monomer. The process was then repeated to completely populate the substrate. Details of the imprint process have previously been reported. 13 Contact/Post Resolution Cell (3 Sets of Biasing) Mini-CD Cell Cleavable lines Cleavable contacts Logic Metal1 Contacts Metal1,Logic, DRAM,Contact,Mini-Grating Figure 2. Test pattern used to analyze the resolution of the exposure and pattern transfer processes. The test pattern includes dense lines, contact holes, and CMOS-like structures.

4 3. Results a. Resist Processing The initial exposures for this work were performed on an EBM Micrographs of some of the ZEP520A images from these exposures are depicted in Figure 3. On the first plate exposed, the minimum coded feature size for the Metal1 And DRAM contact patterns was 45 nm. Both patterns were well resolved. Small arrays of 35 nm contacts also appear resolved. The line/space patterns look good down to approximately 35 nm on this plate. It should be noted, however, that the contrast of the image and small size of the image make it hard to judge feature quality. As a result, it was decided that final judgements on feature quality would be reserved for the pattern transferred images. 35nm 45nm 45nm 40nm 50nm 35nm Figure 3. SEM images of ZEP520A electron beam resist. Dense line/space and contact arrays were resolved down to 35 nm. The 45 nm Metal1 patterns were also clearly resolved. b. Template Fabrication Following pattern transfer, the feature quality was again examined in the SEM. The Cr hardmask was not removed at this step in order to more clearly observe the features in the SEM. The first patterns examined were those written with no or little (-4 nm) bias. The results are shown in Figure 4. Dense lines and serpentines are depicted across the top row. Best resolution was approximately 40 nm for the small cluster of lines. Both the isolated and dense features were well resolved for this pattern. The CMOS like patterns are shown in the second row of Figure 4. The Metal1 and Logic patterns were successfully resolved down to 45 nm. The Logic patterns are particularly challenging. The pattern is dense and contains a combination of horizontal and vertical features, as well as contact like features. Previous attempts at defining this pattern with chemically amplified resists has been limited to dimensions of ~ 70 nm. The DRAM contact arrays resolved at a half pitch of 57 nm. It is likely that better results can be obtained by fine tuning the etch process specifically to the contact pattern.

5 40 nm 45 nm 45 nm 57 nm 45 nm 45 nm Figure 4. SEM images after pattern transfer into the fused silica plate. 40nm line/spaces are resolved. Metal1 and Logic patterns are clean down to 45 nm. The Contact arrays were cleanly etched at a 57nm half pitch c. Template Fabrication: Feature biasing 32nm bias -16nm 36nm bias -16nm Figure 5. Template images with feature bias. Feasibility for 32 nm half pitch resolution is demonstrated.

6 In a previous study performed with a 100 kv Gaussian beam system, better resolution and improved process latitude was obtained by introducing negative feature biasing to the coded pattern, and then overexposing the pattern during the writing process.r In this study, biases as large as -18nm were applied, resulting in improvements in process latitude of better than 3x. The same approach was also evaluated for this work, and the results are shown in Figure 5. The smallest lines resolved were 32 nm. The 35 nm Metal1 patterns resolved, as did the 40 nm DRAM contact arrays. These results were obtained with the writing and pattern transferring of only two plates. While there is still room for process optimization the results are very promising. d. Write Time Comparisons The purpose of adapting a ZEP520A process on to VSB platform is to be able to address customer needs for full field circuits at dimensions below 40 nm. In addition to achieving the dimensional tolerances, write times must also be comparable or better than what will be required for 4X photoplates. For an initial comparison, two cells, supplied by Mentor Graphics were scaled down from 90 nm to 32 nm and then arrayed to fill a field of 26 mm x 32 mm in the case of a 1X template, and 104 mm x 126 mm in the case of a 4X photomask. Optical proximity correction was also included in the 4X pattern. It is understood that for several reasons, these patterns are not perfect examples of what will be used for actual 32 nm devices. It is very possible, for example, that more extensive OPC will be required for the 32 nm node. The idea, for this exercise, is to determine if the write times are still reasonable for a 1X template, when using a much less sensitive resist. The two patterns used are shown in Figure 7. Pattern A has a pattern density of nearly 40% for the reticle case, and nearly 37% for the case of a 1X Template. Pattern B, shown on the right, includes much more OPC for the reticle case. The pattern denisities for the reticle and template are 15.88% and 11.88%, respectively. Reticle A Pattern density: 39.68% Reticle B Pattern density: 15.88% Optical mask A (with OPC) Optical mask B (with OPC) Template A Pattern density: 36.68% Template B Pattern density: 11.78% Template A (without OPC) Template B (without OPC) Figure 7. 32nm patterns used for write time comparisons. Patterns across the top include optical proximity correction.

7 After arraying the patterns, GDS files were evaluated, and write times were calculated using NuFlare proprietary software. The results are presented in Table 1. Write times for the template assumed the use of ZEP520A and an 8 pass write. Two different cases were calculated for a 4X mask: FEP171 resist, with a 2 pass write, and PRL009 resist, with a 4 pass write The write time for the template, for Pattern A, is about 20 percent faster than that of 4X mask using FEP171. The need for 4 passes, in the case of the PRL009, clearly has a negative impact on write time. The effect of shot count becomes very clear in the case of Pattern B. In this case, the write time advantage is approximately 3X relative to the FEP171 reticle, and approximately 7x relative to the PRL009 reticle. For either pattern, it is clear that even if a higher dose was required for the exposure of the ZEP520A resist, the write times would at least be comparable. Pattern A Template, ZEP520A 4X Mask, FEP171 4X Mask, PRL009 Shot counts [G shot] Writing time [hh:mm:ss] 24:07:44 29:32:55 68:43:25 Pattern B Template, ZEP520A 4X Mask, FEP171 4X Mask, PRL009 Shot counts [G shot] Writing time [hh:mm:ss] 8:37:43 25:47:05 60:01:45 Table 1. Write time comparison for the two patterns depicted in Figure 7. For Pattern A, there is a 22 percent improvement in write time for the template. For Pattern B, the write time advantage is at least ~ 3x. e. Image Placement The final parameter considered in this work is image placement. In addition to exposing two quadrants of a 6025 photoplate with the test pattern described in Section 2, an additional two quadrants were used to expose an array of metrology marks, in order to track image placement across the 1X field. The results are shown in Figure 8. Without Correction With Correction Figure 8. Average image placement for 26mm x 32mm templates with and without magnification and orthoganility corrections applied. With the corrections applied, the errors are reduced to less than 4nm, 3σ.

8 For individual fields, typical uncorrected values for the x and y 3σ displacements are 5.3 nm and 3.6 nm, respectively. Pictured in Figure 8 are the average displacements of all the fields, both uncorrected and corrected. After correction for magnification and orthoganality, the 3σ x and y displacements are 3.91 nm and 2.2 nm, respectively. It should be noted these results were obtained with an EBM -5000, and that better results are anticipated with the use of an EBM Conclusion A template fabrication process was developed by improving the resolution and exposure latitude in ZEP520A resist. Imprinted features with a half pitch of 28 nm were clearly resolved. Resist processes have also been identified that can reduce the half pitch to 20 nm, however pattern transfer optimization of the template is required to realize the resolution enhancements. To address early unit process development at 22 nm, a lift-off process was used to create templates with half pitches as small as 21 nm. Templates were fabricated and successfully used to create corresponding imprints. Acknowledgments The authors would like to thank Masaaki Kurihara, Shiho Sasaki, Nobuhito Toyama and Naoya Hayashi from Dai Nippon Printing for pattern transfer work and for providing SEM images. The authors also appreciate the support of S. V. Sreenivasan and Mark Melliar-Smith. This work was partially funded by DARPA (N C-8011) and NIST- ATP. References 1. Henry I. Smith and S. E. Bernacki, J. of Vac. Sci. Technol. Vol. 12, Issue 6, pp , P. E. Mauger, A. R. Shimkunas, J. C. Wolfe, S. Sen, H. Löschner, and G. Stengl, J. Vac. Sci. Technol. B 10, 2819 (1992). 3. M. M. Mkrtchyan, J. A. Liddle, A. E. Novembre, W. K. Waskiewicz, G. P. Watson, L. R. Harriott, and D. A. Muller, J. Vac. Sci. Technol. B 16, 3385 (1998) 4. H. C. Pfeiffer et al., J. Vac. Sci. Technol. B 17, 2840 (1999) T. Bailey, B. J. Choi, M. Colburn, M. Meissl, S. Shaya. J. G. Ekerdt, S. V. Sreenivasan, and C. G. Willson, J. Vac. Sci. Technol. B 18(6), 3572 (2000). 7. I. McMackin, J. Choi, P. Schumaker, V. Nguyen, F. Xu, E. Thompson, D. Babbs, S. V. Sreenivasan, M. Watts, and N. Schumaker, Proc. SPIE 5374, 222 (2004). 8. D. J. Resnick, W. J. Dauksher, D. P. Mancini, K. J. Nordquist, E. S. Ainley, K. A. Gehoski, J. H. Baker, T. C. Bailey, B. J. Choi, S. C. Johnson, S. V. Sreenivasan, J. G. Ekerdt, C. G. Willson, Proc. SPIE Vol. 4688, p , W. J. Dauksher, D. Mancini, K. Nordquist, D. J. Resnick, P. Hudek, D. Beyer, T. Groves, O. Fortagne, Microelectronic Engineering, Vol 75, Issue 4, November 2004, G. Jones, M. Saifullah, K. Subramanian, D. Anderson, D.-J. Kang, W. Huck, M. Welland, to be published in J. Vac. Sci. Technol. B., Nov/Dec M. Irmscher, J. Butschke, G. Hess, C. Koepernik, F. Letzkus, M. Renno, H. Sailer, H. Schulz, A. Schwersenz, E. Thompson, Proc. SPIE Vol. 6151, (2006). 12. L. J. Myron, L. Gershtein, G. Gottlieb, B. Burkhardt, A. Griffiths, D. Mellenthin, K. Rentzsch, S. MacDonald, G. Hughes, Proc. SPIE, Vol. 5752, pp , M. Colburn, T. Bailey, B. J. Choi, J. G. Ekerdt, S. V. Sreenivasan, Solid State Technology, 67, June D. J. Resnick, E. Thompson, L. J. Myron, G. M. Schmid, Microlithography World, Feb W. Hu, K. Sarveswaran, M. Lieberman, G. H. Bernstein, J. Vac. Sci. Technol. B 22(4), 1711 (2004).

Defect inspection of imprinted 32 nm half pitch patterns

Defect inspection of imprinted 32 nm half pitch patterns Defect inspection of imprinted 32 nm half pitch patterns Kosta Selinidis, Ecron Thompson, Ian McMackin, Joseph Perez, S.V. Sreenivasan, Douglas J. Resnick Molecular Imprints, Inc., 1807 West Braker Lane,

More information

High Throughput Jet and Flash* Imprint Lithography for semiconductor memory applications. Abstract

High Throughput Jet and Flash* Imprint Lithography for semiconductor memory applications. Abstract High Throughput Jet and Flash* Imprint Lithography for semiconductor memory applications Wei Zhang, Brian Fletcher, Ecron Thompson, Weijun Liu, Tim Stachowiak, Niyaz Khusnatdinov, J. W. Irving, Whitney

More information

Inspection of templates for imprint lithography

Inspection of templates for imprint lithography Inspection of templates for imprint lithography Harald F. Hess, a) Don Pettibone, David Adler, and Kirk Bertsche KLA-Tencor 160 Rio Robles, San Jose, California 95134 Kevin J. Nordquist, David P. Mancini,

More information

Analysis of critical dimension uniformity for step and flash imprint lithography

Analysis of critical dimension uniformity for step and flash imprint lithography Analysis of critical dimension uniformity for step and flash imprint lithography David P. Mancini a, Kathleen A. Gehoski a, William J. Dauksher a, Kevin J. Nordquist a, Douglas J. Resnick a, Philip Schumaker

More information

Critical Dimension and Image Placement Issues for Step and Flash Imprint Lithography Templates

Critical Dimension and Image Placement Issues for Step and Flash Imprint Lithography Templates Critical Dimension and Image Placement Issues for Step and Flash Imprint Lithography Templates Kevin J. Nordquist 1, David P. Mancini 1, William J. Dauksher 1, Eric S. Ainley 1, Kathy A. Gehoski 1, Douglas

More information

Multi-beam mask writer MBM-1000 for advanced mask making

Multi-beam mask writer MBM-1000 for advanced mask making Multi-beam mask writer MBM-1000 for advanced mask making H. Matsumoto NuFlare Technology, Inc. Slide 1 Multi-beam Shaping aperture array (SAA) Blanking aperture array (BAA) Sub deflectors Main deflectors

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Development of Nanoimprint Mold Using JBX-9300FS

Development of Nanoimprint Mold Using JBX-9300FS Development of Nanoimprint Mold Using JBX-9300FS Morihisa Hoga, Mikio Ishikawa, Naoko Kuwahara Tadahiko Takikawa and Shiho Sasaki Dai Nippon Printing Co., Ltd Research & Development Center Electronic Device

More information

Image placement issues for ITO-based step and flash imprint lithography templates

Image placement issues for ITO-based step and flash imprint lithography templates Image placement issues for ITO-based step and flash imprint lithography templates K. J. Nordquist, a) E. S. Ainley, D. P. Mancini, W. J. Dauksher, K. A. Gehoski, J. Baker, and D. J. Resnick Motorola Labs,

More information

Evaluation of the Imprio 100 Step and Flash Imprint Lithography Tool

Evaluation of the Imprio 100 Step and Flash Imprint Lithography Tool Evaluation of the Imprio 100 Step and Flash Imprint Lithography Tool Kathleen A. Gehoski, David P. Mancini, Douglas J. Resnick Microelectronics and Physical Sciences Laboratories, Motorola Labs, Tempe,

More information

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG Electron Multi-Beam Technology for Mask and Wafer Direct Write Elmar Platzgummer IMS Nanofabrication AG Contents 2 Motivation for Multi-Beam Mask Writer (MBMW) MBMW Tool Principles and Architecture MBMW

More information

Mask Fabrication For Nanoimprint Lithography

Mask Fabrication For Nanoimprint Lithography Mask Fabrication For Nanoimprint Lithography Doug Resnick Canon Nanotechnologies 1807C W. Braker Lane Austin, TX 78758 * dresnick@cnt.canon.com Template (Imprint Mask) Fabrication: Outline E-beam and Etch

More information

Imaging across the world. Hiroshi Matsumoto, Munehiro Ogasawara and Kiyoshi Hattori April 18 th, 2013

Imaging across the world. Hiroshi Matsumoto, Munehiro Ogasawara and Kiyoshi Hattori April 18 th, 2013 Imaging across the world PMJ 213 Panel Discussion Challenges for future EB mask writers Hiroshi Matsumoto, Munehiro Ogasawara and Kiyoshi Hattori April 18 th, 213 vs. pixelated gray beam Two shaping apertures

More information

Progresses in NIL Template Fabrication Naoya Hayashi

Progresses in NIL Template Fabrication Naoya Hayashi Progresses in NIL Template Fabrication Naoya Hayashi Electronic Device Operations Dai Nippon Printing Co., Ltd. Contents 1. Introduction Motivation NIL mask fabrication process 2. NIL mask resolution improvement

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Optical Proximity Effects, part 2

Optical Proximity Effects, part 2 T h e L i t h o g r a p h y E x p e r t (Summer 1996) Optical Proximity Effects, part 2 Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of the Lithography Expert, we examined one type

More information

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013 Multi-Beam activity from the 1980s 1 Panel Discussion Multi-Beam Mask Writer Hans Loeschner IMS Nanofabrication AG Vienna, Austria Jiun Sonja (1718-1805) Buji Kore Kinin Only those who live simply, live

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools I. Yu. Kuzmin, C. A. Mack* Soft Services, Djalila 5-2-507,Moscow 115580, Russia *FNLE Division ofkla-tencor,

More information

CRITICAL DIMENSION CONTROL, OVERLAY, AND THROUGHPUT BUDGETS IN UV NANOIMPRINT STEPPER TECHNOLOGY

CRITICAL DIMENSION CONTROL, OVERLAY, AND THROUGHPUT BUDGETS IN UV NANOIMPRINT STEPPER TECHNOLOGY CRITICAL DIMENSION CONTROL, OVERLAY, AND THROUGHPUT BUDGETS IN UV NANOIMPRINT STEPPER TECHNOLOGY S.V. Sreenivasan 1, 2, P.D. Schumaker 2, B.J. Choi 2 1 Department of Mechanical Engineering University of

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd Computational Lithography Requirements & Challenges for Mask Making Naoya Hayashi, Dai Nippon Printing Co., Ltd Contents Introduction Lithography Trends Computational lithography options More Complex OPC

More information

Cost of Ownership Analysis for Patterning Using Step and Flash Imprint Lithography

Cost of Ownership Analysis for Patterning Using Step and Flash Imprint Lithography Cost of Ownership Analysis for Patterning Using Step and Flash Imprint Lithography S.V. Sreenivasan 1, C.G. Willson 2, N.E. Schumaker 3, D.J. Resnick 4 1 Mechanical Engineering, University of Texas at

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Exhibit 2 Declaration of Dr. Chris Mack

Exhibit 2 Declaration of Dr. Chris Mack STC.UNM v. Intel Corporation Doc. 113 Att. 5 Exhibit 2 Declaration of Dr. Chris Mack Dockets.Justia.com UNITED STATES DISTRICT COURT DISTRICT OF NEW MEXICO STC.UNM, Plaintiff, v. INTEL CORPORATION Civil

More information

Electron Beam Lithography. Adam Ramm

Electron Beam Lithography. Adam Ramm Electron Beam Lithography Adam Ramm Why use electrons? Negligible diffraction limitations: R = k λ NA With current optical technology, this equates to about 45nm resolution. For an electron, wavelength

More information

Zone-plate-array lithography using synchrotron radiation

Zone-plate-array lithography using synchrotron radiation Zone-plate-array lithography using synchrotron radiation A. Pépin, a) D. Decanini, and Y. Chen Laboratoire de Microstructures et de Microélectronique (L2M), CNRS, 196 avenue Henri-Ravéra, 92225 Bagneux,

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing Applications for Mask-less E-Beam Lithography between R&D and Manufacturing May 24, 2006 Lithography Forum Johannes Kretz Table of Contents E-Beam Lithography at Qimonda in Dresden Project Environment

More information

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Yukinori Ochiai, Takashi Ogura, Mitsuru Narihiro, and Kohichi Arai Silicon Systems Research Laboratories,

More information

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP Casey Donaher, Rudolph Technologies Herbert J. Thompson, Rudolph Technologies Chin Tiong Sim, Rudolph Technologies Rudolph

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Fabrication of Silicon Master Using Dry and Wet Etching for Optical Waveguide by Thermal Embossing Technique

Fabrication of Silicon Master Using Dry and Wet Etching for Optical Waveguide by Thermal Embossing Technique Sensors and Materials, Vol. 18, No. 3 (2006) 125 130 MYU Tokyo 125 S & M 0636 Fabrication of Silicon Master Using Dry and Wet Etching for Optical Waveguide by Thermal Embossing Technique Jung-Hun Kim,

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG SEMATECH Workshop on Maskless Lithography San Francisco, CA Dec 14 2008 PML2 Projection Mask-Less Lithography The mask-less electron multi-beam solution for the 22nm node and beyond AG Projection Mask-Less

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM Stanislav KRÁTKÝ a, Vladimír KOLAŘÍK a, Milan MATĚJKA a, Michal URBÁNEK a, Miroslav HORÁČEK a, Jana

More information

Mirror-based pattern generation for maskless lithography

Mirror-based pattern generation for maskless lithography Microelectronic Engineering 73 74 (2004) 42 47 www.elsevier.com/locate/mee Mirror-based pattern generation for maskless lithography William G. Oldham *, Yashesh Shroff EECS Department, University of California,

More information

Double Exposure Using 193nm Negative Tone Photoresist

Double Exposure Using 193nm Negative Tone Photoresist Double Exposure Using 193nm Negative Tone Photoresist Ryoung-han Kim a, Tom Wallow a, Jongwook Kye a, Harry J. Levinson a, and Dave White b a Advanced Micro Devices, One AMD Place, Sunnyvale, CA 94088,

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2006 UPDATE LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Demo Pattern and Performance Test

Demo Pattern and Performance Test Raith GmbH Hauert 18 Technologiepark D-44227 Dortmund Phone: +49(0)231/97 50 00-0 Fax: +49(0)231/97 50 00-5 Email: postmaster@raith.de Internet: www.raith.com Demo Pattern and Performance Test For Raith

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography

REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography Invited Paper REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography Mark A. McCord, Paul Petric, Upendra Ummethala, Allen Carroll, Shinichi Kojima, Luca Grella, Sameet

More information

High-performance wire-grid polarizers using jet and Flash imprint lithography

High-performance wire-grid polarizers using jet and Flash imprint lithography High-performance wire-grid polarizers using jet and Flash imprint lithography Se Hyun Ahn Shuqiang Yang Mike Miller Maha Ganapathisubramanian Marlon Menezes Jin Choi Frank Xu Douglas J. Resnick S. V. Sreenivasan

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Quantized patterning using nanoimprinted blanks

Quantized patterning using nanoimprinted blanks IOP PUBLISHING Nanotechnology 20 (2009) 155303 (7pp) Quantized patterning using nanoimprinted blanks NANOTECHNOLOGY doi:10.1088/0957-4484/20/15/155303 Stephen Y Chou 1, Wen-Di Li and Xiaogan Liang NanoStructure

More information

Low-Cost Nanostructure Patterning Using Step and Flash Imprint Lithography

Low-Cost Nanostructure Patterning Using Step and Flash Imprint Lithography Low-Cost Nanostructure Patterning Using Step and Flash Imprint Lithography S.V. Sreenivasan 1, C.G. Willson 2, N.E. Schumaker 3, D.J. Resnick 4 1 Mechanical Engineering, University of Texas at Austin 2

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers. Copyright 998 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 8 th Annual BACUS Symposium on Photomask Technology and Management SPIE Vol.

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

New CD-SEM System for 100-nm Node Process

New CD-SEM System for 100-nm Node Process New CD-SEM System for 100-nm Node Process Hitachi Review Vol. 51 (2002), No. 4 125 Osamu Nasu Katsuhiro Sasada Mitsuji Ikeda Makoto Ezumi OVERVIEW: With the semiconductor device manufacturing industry

More information

Characterization of field stitching in electron-beam lithography using moiré metrology

Characterization of field stitching in electron-beam lithography using moiré metrology Characterization of field stitching in electron-beam lithography using moiré metrology T. E. Murphy, a) Mark K. Mondol, and Henry I. Smith Massachusetts Institute of Technology, 60 Vassar Street, Cambridge,

More information

Strategies for low cost imprint molds

Strategies for low cost imprint molds Strategies for low cost imprint molds M.P.C. Watts, Impattern Solutions, 9404 Bell Mountain Drive Austin TX 78730 www.impattern.com ABSTRACT The Cost of ownership (COO) due to the mold can be minimized

More information

EUVL Mask Manufacturing-Technologies and Results

EUVL Mask Manufacturing-Technologies and Results EUVL Mask Manufacturing-Technologies and Results Florian Letzkus *a, Joerg Butschke a, Mathias Irmscher a, Holger Sailer a, Uwe Dersch b, Christian Holfeld b a IMS Chips, Allmandring 3a, 7569 Stuttgart,

More information

Optical Bus for Intra and Inter-chip Optical Interconnects

Optical Bus for Intra and Inter-chip Optical Interconnects Optical Bus for Intra and Inter-chip Optical Interconnects Xiaolong Wang Omega Optics Inc., Austin, TX Ray T. Chen University of Texas at Austin, Austin, TX Outline Perspective of Optical Backplane Bus

More information

Optical Proximity Effects

Optical Proximity Effects T h e L i t h o g r a p h y E x p e r t (Spring 1996) Optical Proximity Effects Chris A. Mack, FINLE Technologies, Austin, Texas Proximity effects are the variations in the linewidth of a feature (or the

More information

Amphibian XIS: An Immersion Lithography Microstepper Platform

Amphibian XIS: An Immersion Lithography Microstepper Platform Amphibian XIS: An Immersion Lithography Microstepper Platform Bruce W. Smith, Anatoly Bourov, Yongfa Fan, Frank Cropanese, Peter Hammond Rochester Institute of Technology, Microelectronic Engineering Department,

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Robert. B. Bass, Jian. Z. Zhang and Aurthur. W. Lichtenberger Department of Electrical Engineering, University of

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-1: LER and CAR AIT-2: Resolution Enhancement

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith 3. Spatial-Phase-Locked Electron-Beam Lithography Sponsors: No external sponsor Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry

More information

Lithographic Process Evaluation by CD-SEM

Lithographic Process Evaluation by CD-SEM Lithographic Process Evaluation by CD-SEM Jason L. Burkholder Microelectronic Engineering Rochester Institute of Technology Rochester, NY 14623 Abstract-- In lithography employed in IC fabrication, focus

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

Overlay control for nanoimprint lithography

Overlay control for nanoimprint lithography Overlay control for nanoimprint lithography Kazuya Fukuhara* a, Masato Suzuki a, Masaki Mitsuyasu a, Takuya Kono a, Tetsuro Nakasugi a, Yonghyun Lim b, Wooyung Jung b a Memory Technology Research & Development

More information

REPORT DOCUMENTATION PAGE

REPORT DOCUMENTATION PAGE REPORT DOCUMENTATION PAGE Form Approved OMB No. 0704-0188 Public reporting burden for this collection of information is estimated to average 1 hour per response, including the time for reviewing instructions,

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Introduction of ADVANTEST EB Lithography System

Introduction of ADVANTEST EB Lithography System Introduction of ADVANTEST EB Lithography System Nanotechnology Business Division ADVANTEST Corporation 1 2 Node [nm] EB Lithography Products < ADVANTEST s Superiority > High Resolution :EB optical technology

More information

IMAGINE: an open consortium to boost maskless lithography take off First assessment results on MAPPER technology

IMAGINE: an open consortium to boost maskless lithography take off First assessment results on MAPPER technology IMAGINE: an open consortium to boost maskless lithography take off First assessment results on MAPPER technology L. Pain (1), B. Icard (1), M. Martin (1), C. Constancias (1), S. Tedesco (1), P. Wiedeman

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information