Current Status of NewSUBARU

Size: px
Start display at page:

Download "Current Status of NewSUBARU"

Transcription

1 Current Status of NewSUBARU

2 NewSUBARU Storage Ring Storage Ring Parameters The machine parameters of the 1.5 GeV storage ring are listed in Table I. Although the machine condition remains the same, some of the values are revised according to the new model calculation. Table I Main parameters of the NewSUBARU storage ring in FY2011. Circumference m Bending lattice type modified DBA Number of bending cells 6 Straight sections 4m X 4, 15m X 2 Bending radius 3.22 m Injection energy 1.0 GeV Maximum energy 1.5 GeV RF frequency MHz Betatron tune 6.29 (H), 2.23 (V) Momentum compaction factor Electron energy 1.0 GeV 1.5 GeV RF voltage 100 kv 260 kv Natural energy spread 0.047% % Natural emittance 50 nm 112 nm Maximum beam current 500 ma Operation Status The ring has two user-time operation modes, 1.0 GeV top-up operation mode and 1.5 GeV operation mode. The basic operation time is 9:00-21:00 of weekdays. Monday is used for machine R&D, Tuesday is for 1.5 GeV user time, Wednesday and Thursday are for 1.0 GeV top-up user time, Friday is for 1.0 GeV or 1.5 GeV user time. Night period or weekend is used for machine study and user time with the special mode, single bunch operation and Laser-Compton Gamma ray, if necessary. machine study & special user time 545 hrs (20%) beam down time by machine troubles 27 hrs (1%) machine tuning 239 hrs (10%) beamline commissioning 659 hrs (25%) normal user time 1191 hrs (44%) Figure 1: Machine time in FY2012. The total machine time in FY2011 was 2661 hrs, 115% of that of FY2011, including the beam down time. Fig. 1 shows the breakdown. The beam down time includes not only the down by a failure, but also off-beam periods by a beam abort or others due to the beam instability. The category 'beam line commissioning' had been included in the user time until FY2011. The total of the normal user time and the beam line commissioning in this FY, 1850 hrs, was about 121% of that in FY2011. Time for machine study and special users was 128% of that in FY2011. The down time due to the machine trouble was 1.0%, the same level with that in FY Machine Troubles The machine troubles in FY2012 are listed in Table II. The rate of hardware troubles was increasing. We had troubles in two different timing modules. The synthesizer, which is used as a 500MHz master signal generator, had a trouble in AC-DC units and the signal became noisy. This was not counted in down time because we could continue user operation. We had troubles in 5 magnet power supplies. Machine Study and Special User Time Table III shows the list of machine studies in FY One special theme, a research related to a production of Laser-Compton backscattering γ- ray and its use, took more than 50% of the machine study time. Most of them took place after the completion of the new γ-ray hatch at BL1 in November Most of the study reports are open to the public on the home page of NewSUBARU ( lasti. u-hyogo. ac. jp/ beam_physics/ NewSUBARU). Accelerator Improvements The top-up operation current has been raised from 250 ma to 300 ma since October Fig.2 shows typical operation in these 5 years. This improvement was achieved by longer lifetime as a result of an additional installation and optimization of sextupole magnet families. The harmonic sextupole family named 'S1' was separated to 'S1', 'S3OK', and 'S3LU' and they were connected to the independent power supplies. New vertical kicker magnet system was installed at on of the long straight sections. Its pulse shape was 0.5 ns half sine, a little bit longer than the revolution period. The main purpose of the installation was the accelerator physics research to generate narrow band coherent THz radiation. However it is useful also for various researches and machine parameter measurements.

3 stored beam current (ma) Oct. 2012; 300mA Nov. 2011; 250mA Oct. 2009; 220mA Sep. 2007; 200mA GeV top-up operation 9:00 11:00 13:00 15:00 17:00 time Figure 2: Improvement of top-up operation current in these 5 years. Table II Group Operation Operation RF & Timing Magnet Beam line Utility Table III Figure 3: Newly installed vertical kicker system.. Machine troubles in FY2012. Failure/trouble beam down time (hr) Miss operation (human error) 0.9 Beam loss by a beam instabilities 3.6 Schedule delay by SPring-8 trouble 2.3 Timing module 11.3 Synthesizer (noisy signal) 0.0 Power supply 3.7 Cooling water leak at BL1b 5.2 Electric power down by a thunder 0.4 List of machine studies in FY2012. The unit of study time is counted by shifts (typically 12 hrs). R D theme and special user mode Laser-Compton backscattering γ-rays Parameter tuning for various energy operations Commissioning of visible light profile monitor port SR5 Skew quadrupole coupling correction Coherent synchrotron oscillation feed-back Betatron amplitude dependent orbit shift Coherent synchrotron radiation by chromaticity modulation Linear lattice model confirmation Commissioning of the multi-element corrector Identification of RF noise source (synthesizer trouble) responsible person S. Miyamoto S. Miyamoto study shift

4 Beamlines Takeo Watanabe and Hiroo Kinoshita Center for EUVL, LASTI, University of Hyogo Total nine beamlines are operating in the NewSUBARU synchrotron facility. Four beamlines of BL01, BL03, BL06 and BL11 were constructed until Three beamlines of BL07, BL09 and BL10 were started the operation from BL03B beamline branched from the BL03 beamline propose for the usage of the EUVL (extreme ultraviolet lithography) microscope for the EUVL finished mask inspection. BL09B beamline branched from BL09 beamline for the usage of the usage of the EUV interference lithography to evaluate. And BL09C beamline branched from BL09B beamline for the usage of the thickness measurement of the carbon contamination originated to the resist outgassing during the EUV exposure. BL02 beamline was constructed for the usage of LIGA in BL05 beamline was constracted in response to a demand in the industrial world, that is enhancement of the analysis ability in the soft X-ray region with the development of nanotechnology. The arrangement of the beamlines in the NewSUBARU synchrotron radiation facility is shown in Fig.1. Fig. 1 Beamline arrangement in NewSUBARU. I. BL01 BL01 is a beamline for research and developing new light sources. This beamline is one of two long straight section on NewSUBARU. Optical klystron was installed at this straight section. Upstream side of

5 this beamline (BL01B) is intended to be used for visible and infrared light generated from FEL or SR. Downstream side of this beamline (BL01A) is used for laser Compton scattering gamma-rays source. Gamma-ray beamline hutch just outside of the storage ring tunnel was constructed in 2004 for gamma-ray irradiation experiments. Specifications of this gamma-ray source are listed in Table 1. Table 1. Specification of BL01 gamma beam CO 2 laser Gamma energy : MeV 5W -1.7 MeV) Nd laser 5 W Gamma energy : MeV -17 MeV) *Electron beam energy : GeV *Electron beam current : 250 ma gamma-ray beam divergence : 0.5 mrad II. BL02 The LIGA (abbreviated name of Lithogaphic, Galvanoformung and Abformung) process which consists from deep x-ray lithography, electroforming, and molding process is one of the promising candidates for such 3D micofabrication. More than hundreds aspect ratio for microstructure can be attained by the usa of the higher energy x-rays (4-15 kev) from synchrotron radiation (SR) with deeper penetration depth to the photosensitive resist. In this system we have succeeded to enlarged the exposure area up to A4 size and the fabrication dimension from submicron to millimeter by varying the energy of the x-ray source in accordance with the size of desired microparts. Micostructure with high aspect ratio over several hundreds will bi achieved using the x-rays over 10 kev since high energy x-ray has deep penetration depth to the photo-sensitive resist materials. Whereas, in the case of lithography for low energy x-rays from 1 kev to 2 kev, submicron structures with high aspect ratio will be achieved using the x-rays mask with precise line-width and thinner absorber, since low energy x-rays has low penetration depth. Based on this principle, the beamilne for x-ray exposure have constructed with continuous selectivity of x-rays frpm 100 ev to 15 kev by using the x-ray mirrors (plane and cylindrical mirror) and Be film filters. The horizontal angle of the outgoing SR could be obtained up to 12.5 mrad, which corresponds to the horizontal size of 220 mm (A4 horizontal size) at the exposure position. The second characteristic performance of the beamline is the high efficiency differential pumping system. This was necessary for maintain the vacuum difference between the storage ring (<10-9 Pa) and the end-station (<10-9 Pa) at which gasses for substrate cooling will be introduced in the exposure apparatus. The flexibility for the shapes and functions of microstructure will be enlarged by achieving 3D microfabrication process using multi step exposure at various configuration between x-ray mask and substrates. The relative positions between x-ray mask and substrates, tilt and rotation angle to the SR incident direction can be moved simultaneously during SR exposure using 5 axis stages. The movement of each axis is controlled by the PC in terms of the scanning speeds, scanning length, and repetition number. In order to decrease the heat load of sample substrate suffered during SR irradiation helium introduction and substrate cooling mechanism were alse equipped. Specification of spectrometer is listed in Table 2. Table 2. Specification of the LIGA exposure system Optics Plane and cylindrical mirror, Be filters Exposure energy kev, and 4 15 kev Exposure method Proximity and multi step exposure Wafer size A4 or 8 inch Exposure area 230 mm(h) 300 mm(v) Exposure environment < 1 atm (He-gas)

6 III. BL03 BL03 is a beamline for the developing the next generation lithographic technology so called extreme ultraviolet lithography (EUVL). The exposure tool is installed at the end station. Using this exposure tool, the research and development of the next generation lithography such as the less than 70 nm node is going on process. The exposure wavelength is 13.5 nm. The semiconductor industry plays a very important role in the information technology (IT). In 2006, 256 Gbit DRAM with a gate length of 70 nm will be demanded in the IT industry. The extreme ultraviolet lithography (EUVL) is a promise technology for fabricating a fine pattern less than 70 nm. To meet this schedule, this technology has to be developed in the pilot line until As for the practical use, it is very important that both to achieve large exposure area and to fabricate fine patterns. Therefore, at Himeji Institute of Technology, large exposure field EUV camera consists of three aspherical mirrors was developed. First in the world, we fabricated 60 nm line and space pattern in the large exposure area of 10 mm 10 mm on a wafer. Furthermore, BL03B beamline branches from the BL03 beamline propose for the usage of the EUVL microscope for the EUVL finished mask inspection. Table 3. Spectification of the exposure tool (ETS-1) Imaging optics Three aspherical mirrors Exposure wavelength 13.5 nm Numerical aperture 0.1 Demagnification 1/5 Resolution 60 nm Depth of focus 0.9 µm Exposure area (static) 30 mm 1 mm Exposure area (scanning) 30 mm 28 mm Mask size 4 inch, 8 inch, and ULE 6025 Wafer size 8 inch Exposure environment In vacuum IV. BL05 BL05 was constructed in response to a demand in the industrial world, that is enhancement of the analysis ability in the soft x-ray region with the development of nanotechnology. BL05 consists of two branch lines for use in the wide range from 50 ev to 4000 ev. BL05A and BL05B are designed to cover the energy range of ev and ev, respectively. The incident beam from the bending magnet is provided for two branch lines through different windows of a mask. Therefore, these two branch lines can be employed simultaneously. 1) The double crystal monochromator was installed at the BL05A. InSb crystals and Si crystals are prepared for a double-crystal monochromator. Toroidal mirrors are used as a pre-mirror and a focusing mirror of BL05A. XAFS measurement in the total electron yield mode and fluorescence XAFS measurement using SSD (SII Vortex) can be performed. The fluorescence XAFS spectra can be measured for samples at the end station filled with He gas. Table 4. Monochromator specification Monochromator Double crystal monochromator Monochromator crystals InSb(111), Si(111) ev Resolution E/ E=3000 2) The constant-deviation monochromator consisting of a demagnifying spherical mirror and a varied-line-spacing plane grating (VLSPG), which can provide high resolution, simple wavelength scanning with fixed slits, was mounted on BL05B. The optical system consists of a first mirror (M0), a second mirror (M1), an entrance slit (S1), a pre-mirror (M2), and three kinds of plane grating (G), an exit slit (S2) and a focusing mirror (M3). The including

7 angle of the monochromator is 175. Two measurement chambers are prepared at the end station of BL05B. The XAFS spectra in the total electron yield mode and fluorescence XAFS spectra using SDD (EDAX) can be measured in a high vacuum chamber. In addition, the photoelectron spectrum can be measured using spherical electron analyzer (VG Sienta, R3000) in an ultra high-vacuum chamber. The chambers can be replaced by each other within 1 hour. Monochromator Grating Resolution Table 5. Monochromator specification Varied-line-spacing plane grating monochromator 100 l/mm, 300 l/mm, 800 mm/l ev E/ E=3000 V. BL06 BL06 has been mainly developed for irradiation experiments such as photochemical reaction, SR-CVD, photo-etching, surface modification. The white radiation beam from bending magnet is introduced to the sample stage using a pair of mirror, whose incident angle was 3. The SR at BL06 sample stage had a continuous spectrum from IR to soft x-ray, which was lower than 1 kev. A VI. BL07A and BL07B This beamline was designed for the development of new materials by SR technology. This beamline consists of two branch lines, which are provided with an incident beam from a 3-m undulator by switching the first mirror. One of them is a high photon-flux beamline with a multilayered-mirror monochromator for the study of SR-process (BL07A) and another is a high-resolution beamline with a varied line spacing grating monochromator for the evaluation of nano-structure characteristics by SR-spectroscopy (BL07B). The useful range of emitted photons from 50 to 800 ev is covered at both beamlines. The light source of BL07 is a 3-m length planar undulator, which consists of 29 sets of permanent magnets, a period length of which is 76 mm. The incident beam from the undulator is provided for two branch lines by translational switching of first mirror. differential pumping system can be utilized for experiments in a gas atmosphere, which is difficult in the soft x-ray region. A sample holder can install four pieces of samples at a time. By using heater set in the sample holder, the sample can be heated from room temperature to 220 C. The temperature of sample is monitored using a Cr-Al thermocouple mounted on the sample holder. 1) BL07A The multilayered-mirror (MLM) monochromator, which has high reflectivity in the soft X-ray region, was installed at the BL07A. It consists of a switching mirror chamber, a slit chamber, a MLM monochromator, a filter chamber and a reaction chamber. To obtain a large photon flux, we decided to use only first mirror (switching mirror), M0, for focusing. The MLM monochromator is designed to cover an energy range of up to about 800 ev by combination of three kinds of mirror pairs with 4 kinds of filter. The flux deliver by this design is estimated to be between a maximum of photons/s at 95 ev and a minimum photons/s at 300 ev for a 500 ma ring current. Energy range (ev) Material spacing Thickness Ratio Table 6. Summary of BL07A. Multilayer mirror number of layers Filter E/E material thickness Al 100 nm Mo/Si 20 nm % None Mo/B 4 C 11 nm % Ag 100 nm Ni/C 5 nm % Cr 500 nm Ni 500 nm

8 2) BL07B The constant-deviation monochromator consisting of a demagnifying spherical mirror and varied line spacing plane grating (VLSPG), which can provide to high resolution, simple wavelength scanning with fixed slits, was mounted on BL07B. The optical system consists of a first mirror (M0), a entrance slit (S1), a premirror (M1), and three kinds of plane grating (G), an exit slit (S2) and a focusing mirror (M2). The monochromator is designed to cover the energy range ev with three gratings, of which including angle are 168. The VLSPG has been well known to obtain high resolution in extreme ultraviolet region by diminishing various kinds of aberration. The total resolving power about 3000 can be realized in the whole energy region. Table 7. Monocromator specification Mount type Hettrick-Underwood type Grating G1, G2, G3 Plane VLS (600 l/mm, 1200 l/mm, 2400 l/mm) ev, ev, ev Resolving power (E/ E) 3000 VII. BL9 A purpose of this beamline is studies on a soft x-ray interferometry or a holographic exposure experiment with making use of highly brilliant and coherent photon beams radiated from 11 m long undulator in NewSUBARU. BL09 consists of M0 mirror, M1 mirror, G grating and M2 and M3 mirror. M0 and M3 mirrors are used for horizontal deflection and beam convergence, M1 is used for vertical beam convergence at the exit slit, and M2 is used for vertical deflection and beam convergence. A monochromator is constructed by M1 and a plane grating. The maximum acceptance of the undulator beam is 0.64 mrad in horizontal and 0.27 mrad in vertical. The acceptance can be restricted by 4-jaw slits equipped at upstream of the M0 mirror. BL09B beamline branched from BL09 beamline for the usage of the EUV interference lithography for the evaluation of the exposure characteristics of EUV resist. Coherence length of 1 mm at the resist exposure position was achieved using BL09B beamilne. And BL09C beamline branched from BL09B beamline for the usage of the thickness measurement of the carbon contamination originated to the resist outgassing during the EUV exposure. Table 8. Monochromator specification Mount type Hettrick-Underwood type Grating Plane VLS (900 l/mm) ev Resolving power (E/ E) 3000 VIII. BL10 BL10 is for the global use in the Himeji Institute of Technology. M0 mirror is used for horizontal deflection and beam convergence, M1 is used for vertical beam convergence at the exit slit, and M2 is used for vertical deflection and beam convergence. A monochromator is constructed by M1 and a plane grating. At the beginning, the multiplayers reflectiveity measurement was carried out at this beamline. The characteristics of this beamline and the result of the Mo/Si multiplayers measurement are carried out for the development of the EUVL mask techmology. BL10 utilizes a monochromator of the varied line spacing plane grating monochromator (VLS-PGM). The line density of the monochromator in central region of the grating is 600 lines/mm. The reflectometer is a two axis vacuum goniometer using two Huber goniometers. One axis carries the sample, which may for examplee be a mirror at the center of the reflectometer vacuum tank ( -motion). The other ( -motion) carries the detector on a rotating arm. In addition there are through-cacuum linear motions to translate the sample in two orthogonal directions (x,y). All motors are controlled by computer. The sample itself is mounted on a kinematic holder. The controlstage monochromator rotation, and data analysis were program

9 using LABVIEW software. The reflectivity result obtained at BL10 has a good agreement with that at LBNL. The mirso-csm tool was adapted at the most downstream of the BL10 beamline fot the EUV mask defect inspection. This too is very effective for the inspection of the actinic patterned mask. Table 9. Monochromator specification Mount type Hettrick-Underwood type Grating Plane VLS (600 l/mm) ev Resolving power (E/ E) 1000 IX. BL11 A beam line BL11 is constructed for exposure Hard X-ray Lithography (DXL) in the LIGA (German acronym for Lithographite Galvanoformung and Abformung) process. LIGA process, that utilizes a useful industrial application of SR, is one of the promising technologies for fabrication of extremely tall three-dimensional microstructures with a large aspect ratio. This process was invented at the Institut Fur Mikrostrukturtechnik (IMT) of the Karlstuhe Nuclear Center (KfK) in Microstructures with height of over a few hundreds µm have been widely applied to various fields such as micro-mechanics, micro-optics, sensor and actuator technology, chemical, medical and biological engineering, and so on. This beam line was designed by the criteria ; photon energy range 4 kev to 6 kev, a beam spot size on the exposure stage 50 5 mm 2, a density of total irradiated photons photons/cm 2. BL11 of an absorber chamber, a first-mirror chamber (M1), a second-mirror chamber (M2), a 4-way slit chamber, a Be window chamber, and an exposure chamber. The second pre-mirror is bent elliptically using a bending mechanism. Fine bending adjustment of the M2 mirror can be made in the UHV by the pulse motor. The LIGA process needs the photon energies of 3 kev to 6 kev, the optics of a LIGA beam line generally employ a Pt monolayered-mirror and a Be window, which cuts off low-energy photons. The reflectivity of a Pt-coated mirror is about 55 % in the range of photon energy from 2 kev to 4 kev, however, it drops to 30 % at the photon energy of 6 kev. Therefore, new materials with a high reflectivity must to be found for Deep X-ray lithography (DXL) in this energy range. We propose the use of a Ni/W/C multilayered-mirror with a graded d-spacing in the range of photon energy from 3 ev to 6 kev. The calculated reflectivity of the Ni/W/C multilayered-mirror is higher than 56 % at the photon energy of 6 kev with a glazing incident angle of 0.8 degrees, and photons that have higher photon energy than 6 kev can be removed A 200 µm-thick beryllium (Be) window in a Be window chamber is used to separate the ultra-high vacuum part from the low vacuum part and to cut off low-energy photons. Table 10. Specification of the LIGA exposure system Exposure method Proximity exposure Wafer size 4 inch Exposure area 50 mm(h) 80 mm(v) Exposure environment < 1atm (He-gas)

EUV Interference Lithography in NewSUBARU

EUV Interference Lithography in NewSUBARU EUV Interference Lithography in NewSUBARU Takeo Watanabe 1, Tae Geun Kim 2, Yasuyuki Fukushima 1, Noki Sakagami 1, Teruhiko Kimura 1, Yoshito Kamaji 1, Takafumi Iguchi 1, Yuuya Yamaguchi 1, Masaki Tada

More information

Circumference 187 m (bending radius = 8.66 m)

Circumference 187 m (bending radius = 8.66 m) 4. Specifications of the Accelerators Table 1. General parameters of the PF storage ring. Energy 2.5 GeV (max 3.0 GeV) Initial stored current multi-bunch 450 ma (max 500 ma at 2.5GeV) single bunch 70 ma

More information

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Takeo Watanabe, Tetsuo Harada, and Hiroo Kinoshita Center for EUVL, University of Hyogo Outline 1) EUV actinic

More information

membrane sample EUV characterization

membrane sample EUV characterization membrane sample EUV characterization Christian Laubis, PTB Outline PTB's synchrotron radiation lab Scatter from structures Scatter from random rough surfaces Measurement geometries SAXS Lifetime testing

More information

VUV-FEL User workshop, August 23-24, 2004

VUV-FEL User workshop, August 23-24, 2004 Layout of the user facility Kai Tiedtke Kai Tiedtke, HASYLAB@ VUV-FEL User workshop, August 23-24, 2004 Kai.Tiedtke@desy.de Kai Tiedtke, HASYLAB@ Outline Photon beam transport Layout of the experimental

More information

Bioimaging of cells and tissues using accelerator-based sources

Bioimaging of cells and tissues using accelerator-based sources Analytical and Bioanalytical Chemistry Electronic Supplementary Material Bioimaging of cells and tissues using accelerator-based sources Cyril Petibois, Mariangela Cestelli Guidi Main features of Free

More information

3 General layout of the XFEL Facility

3 General layout of the XFEL Facility 3 General layout of the XFEL Facility 3.1 Introduction The present chapter provides an overview of the whole European X-Ray Free-Electron Laser (XFEL) Facility layout, enumerating its main components and

More information

NIST EUVL Metrology Programs

NIST EUVL Metrology Programs NIST EUVL Metrology Programs S.Grantham, C. Tarrio, R.E. Vest, Y. Barad, S. Kulin, K. Liu and T.B. Lucatorto National Institute of Standards and Technology (NIST) Gaithersburg, MD USA L. Klebanoff and

More information

Sources & Beam Line Optics

Sources & Beam Line Optics SSRL Scattering Workshop May 16, 2006 Sources & Beam Line Optics Thomas Rabedeau SSRL Beam Line Development Objective/Scope Objective - develop a better understanding of the capabilities and limitations

More information

On-line spectrometer for FEL radiation at

On-line spectrometer for FEL radiation at On-line spectrometer for FEL radiation at FERMI@ELETTRA Fabio Frassetto 1, Luca Poletto 1, Daniele Cocco 2, Marco Zangrando 3 1 CNR/INFM Laboratory for Ultraviolet and X-Ray Optical Research & Department

More information

Short-Pulse X-ray at the Advanced Photon Source Overview

Short-Pulse X-ray at the Advanced Photon Source Overview Short-Pulse X-ray at the Advanced Photon Source Overview Vadim Sajaev and Louis Emery Accelerator Operations and Physics Group Accelerator Systems Division Mini-workshop on Methods of Data Analysis in

More information

Nano Beam Position Monitor

Nano Beam Position Monitor Introduction Transparent X-ray beam monitoring and imaging is a new enabling technology that will become the gold standard tool for beam characterisation at synchrotron radiation facilities. It allows

More information

Historical. McPherson 15 Mount

Historical. McPherson 15 Mount McPherson 15 Mount Normal incidence designs include the McPherson 15 (classical 1.0 meter focal length) and modern NIM units. The latter features smaller included angles, longer focal lengths (e.g. 3,

More information

RANDY W. ALKIRE, GEROLD ROSENBAUM AND GWYNDAF EVANS

RANDY W. ALKIRE, GEROLD ROSENBAUM AND GWYNDAF EVANS S-94,316 PATENTS-US-A96698 BEAM POSITION MONITOR RANDY W. ALKIRE, GEROLD ROSENBAUM AND GWYNDAF EVANS CONTRACTUAL ORIGIN OF THE INVENTION The United States Government has rights in this invention pursuant

More information

Construction of Phase-I Insertion Devices at TPS

Construction of Phase-I Insertion Devices at TPS FACILITY STATUS 071 Construction of Phase-I Insertion Devices at TPS Taiwan Photon Source (TPS), a third-generation light source based on a 3-GeV storage ring, is featured with high brilliant insertion

More information

Vacuum Ultra Violet Monochromator

Vacuum Ultra Violet Monochromator Feature Article JY Division I nformation Vacuum Ultra Violet Monochromator Erick Jourdain Abstract Taking the advantage of Jobin Yvon(JY) leading position in the design and realisation of diffraction grating

More information

Photon Diagnostics. FLASH User Workshop 08.

Photon Diagnostics. FLASH User Workshop 08. Photon Diagnostics FLASH User Workshop 08 Kai.Tiedtke@desy.de Outline What kind of diagnostic tools do user need to make efficient use of FLASH? intensity (New GMD) beam position intensity profile on the

More information

Nonintercepting Diagnostics for Transverse Beam Properties: from Rings to ERLs

Nonintercepting Diagnostics for Transverse Beam Properties: from Rings to ERLs Nonintercepting Diagnostics for Transverse Beam Properties: from Rings to ERLs Alex H. Lumpkin Accelerator Operations Division Advanced Photon Source Presented at Jefferson National Accelerator Laboratory

More information

BL39XU Magnetic Materials

BL39XU Magnetic Materials BL39XU Magnetic Materials BL39XU is an undulator beamline that is dedicated to hard X-ray spectroscopy and diffractometry requiring control of the X-ray polarization state. The major applications of the

More information

Development of ultra-fine structure t metrology system using coherent EUV source

Development of ultra-fine structure t metrology system using coherent EUV source 2009 International Workshop On EUV Lithography, July 13-17,2009 Development of ultra-fine structure t metrology system using coherent EUV source University of Hyogo 1, Hiroo Kinoshita 1,3, Tetuo Harada

More information

Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer

Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer Katsumi Sugisaki Yucong Zhu a Yoshio Gomei amasahito Niibe b Takeo Watanabe b Hiroo Kinoshita b a Association of

More information

Today s Outline - January 25, C. Segre (IIT) PHYS Spring 2018 January 25, / 26

Today s Outline - January 25, C. Segre (IIT) PHYS Spring 2018 January 25, / 26 Today s Outline - January 25, 2018 C. Segre (IIT) PHYS 570 - Spring 2018 January 25, 2018 1 / 26 Today s Outline - January 25, 2018 HW #2 C. Segre (IIT) PHYS 570 - Spring 2018 January 25, 2018 1 / 26 Today

More information

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope Kenichi Ikeda 1, Hideyuki Kotaki 1 ' 2 and Kazuhisa Nakajima 1 ' 2 ' 3 1 Graduate University for Advanced

More information

TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES

TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES Luca Poletto CNR - Institute of Photonics and Nanotechnologies Laboratory for UV and X-Ray Optical Research Padova, Italy e-mail:

More information

Supplementary Information

Supplementary Information Supplementary Information Supplementary Figure 1. Modal simulation and frequency response of a high- frequency (75- khz) MEMS. a, Modal frequency of the device was simulated using Coventorware and shows

More information

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source 2015 International Workshop on EUV and Soft X-Ray Sources Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source T. Parkman 1, M. F. Nawaz 2, M. Nevrkla 2, M. Vrbova 1, A. Jancarek

More information

Infrared Single Shot Diagnostics for the Longitudinal. Profile of the Electron Bunches at FLASH. Disputation

Infrared Single Shot Diagnostics for the Longitudinal. Profile of the Electron Bunches at FLASH. Disputation Infrared Single Shot Diagnostics for the Longitudinal Profile of the Electron Bunches at FLASH Disputation Hossein Delsim-Hashemi Tuesday 22 July 2008 7/23/2008 2/ 35 Introduction m eb c 2 3 2 γ ω = +

More information

12 GeV Upgrade Project DESIGN SOLUTIONS DOCUMENT. Upgrade Hall A

12 GeV Upgrade Project DESIGN SOLUTIONS DOCUMENT. Upgrade Hall A 12 GeV Upgrade Project DESIGN SOLUTIONS DOCUMENT Upgrade Hall A Version 1.2 July 28, 2010 DESIGN SOLUTIONS DOCUMENT Upgrade Hall A APPROVALS Approved by: 12 GeV Upgrade Control Account Manager, Hall A

More information

Suppression of Vertical Oscillation and Observation of Flux Improvement during Top-up Injection at PLS-II

Suppression of Vertical Oscillation and Observation of Flux Improvement during Top-up Injection at PLS-II Suppression of Vertical Oscillation and Observation of Flux Improvement during Top-up Injection at PLS-II Y-G. Son, 1 J.-Y. Kim, 1 C. Mitsuda, 2 K. Kobayashi, 2 J. Ko, 1 T-Y. Lee, 1 J-Y. Choi, 1 D-E. Kim,

More information

Performance of the SASE3 monochromator equipped with a provisional short grating. Variable line spacing grating specifications

Performance of the SASE3 monochromator equipped with a provisional short grating. Variable line spacing grating specifications TECHNICAL REPORT Performance of the SASE monochromator equipped with a provisional short grating. Variable line spacing grating specifications N. Gerasimova for the X-Ray Optics and Beam Transport group

More information

Three Laminar Profile Spherical Gratings for the Madison SGM Beamline. Technical Specification

Three Laminar Profile Spherical Gratings for the Madison SGM Beamline. Technical Specification Three Laminar Profile Spherical Gratings for the Madison SGM Beamline Technical Specification 6.8.75.1 Date: 2001-11-06 Copyright 2002, Canadian Light Source Inc. This document is the property of Canadian

More information

BEAM HALO OBSERVATION BY CORONAGRAPH

BEAM HALO OBSERVATION BY CORONAGRAPH BEAM HALO OBSERVATION BY CORONAGRAPH T. Mitsuhashi, KEK, TSUKUBA, Japan Abstract We have developed a coronagraph for the observation of the beam halo surrounding a beam. An opaque disk is set in the beam

More information

Improvement in High-Frequency Properties of Beam Halo Monitor using Diamond Detectors for SPring-8 XFEL

Improvement in High-Frequency Properties of Beam Halo Monitor using Diamond Detectors for SPring-8 XFEL 32 nd International Free Electron Laser Conference FEL 2010 Improvement in High-Frequency Properties of Beam Halo Monitor using Diamond Detectors for SPring-8 XFEL August 26, 2010 Thursday, THOC4 1 Hideki

More information

190 nm (6.5 ev) Laser-ARPES. Laser ARPES using a Tunable ps UV Source

190 nm (6.5 ev) Laser-ARPES. Laser ARPES using a Tunable ps UV Source 190 nm (6. ev) Laser-ARPES Laser ARPES using a Tunable ps UV Source 1 190 nm (6. ev) UV Source Laser ARPES/ARUPS with a High-Power UV Source Tunable deep-uv pulses from 190... 21 nm (6.....77 ev) are available

More information

X-Ray Transport, Diagnostic, & Commissioning Plans. LCLS Diagnostics and Commissioning Workshop

X-Ray Transport, Diagnostic, & Commissioning Plans. LCLS Diagnostics and Commissioning Workshop X-Ray Transport, Diagnostic, & Commissioning Plans LCLS Diagnostics and Commissioning Workshop *This work was performed under the auspices of the U.S. Department of Energy by the University of California,

More information

An Overview of MAX IV Insertion Devices & Magnetic Measurement System. Hamed Tarawneh On behalf of Insertion Devices Team

An Overview of MAX IV Insertion Devices & Magnetic Measurement System. Hamed Tarawneh On behalf of Insertion Devices Team An Overview of MAX IV Insertion Devices & Magnetic Measurement System Hamed Tarawneh On behalf of Insertion Devices Team MAX IV IDs & MagLab 1 Outlook: MAX IV Facility. ID Magnet Lab @ MAX IV. IDs @ 3

More information

Single Bunch Impurity Measurement at SPring-8 8 Storage Ring

Single Bunch Impurity Measurement at SPring-8 8 Storage Ring Single Bunch Impurity Measurement at SPring-8 8 Storage Ring Kazuhiro TAMURA (JASRI/SPring-8) 1 Outlilne Overview of SPring-8 accelerator complex operation modes Bunch Purity Monitor light shutter system

More information

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Panel discussion Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Akira Endo * Extreme Ultraviolet Lithography System Development Association Gigaphoton Inc * 2008 EUVL Workshop 11

More information

Undulator K-Parameter Measurements at LCLS

Undulator K-Parameter Measurements at LCLS Undulator K-Parameter Measurements at LCLS J. Welch, A. Brachmann, F-J. Decker, Y. Ding, P. Emma, A. Fisher, J. Frisch, Z. Huang, R. Iverson, H. Loos, H-D. Nuhn, P. Stefan, D. Ratner, J. Turner, J. Wu,

More information

FLASH 2. FEL seminar. Charge: 0.5 nc. Juliane Rönsch-Schulenburg Overview of FLASH 2 Hamburg,

FLASH 2. FEL seminar. Charge: 0.5 nc. Juliane Rönsch-Schulenburg Overview of FLASH 2 Hamburg, FLASH 2 FEL seminar Juliane Rönsch-Schulenburg Overview of FLASH 2 Hamburg, 2016-03-22 Charge: 0.5 nc Overview 1. FLASH 2 Overview 1.Layout parameters 2. Operation FLASH2. 1.Lasing at wavelengths between

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Recent Development Activities on EUVL at ASET

Recent Development Activities on EUVL at ASET Title Recent Development Activities on at ASET Shinji Okazaki ASET Laboratory 2 nd International Workshop on 1 Overall Development Plan 98 99 00 01 02 03 04 05 06 07 08 ASET Basic Technologies 100% Government

More information

Instructions for the Experiment

Instructions for the Experiment Instructions for the Experiment Excitonic States in Atomically Thin Semiconductors 1. Introduction Alongside with electrical measurements, optical measurements are an indispensable tool for the study of

More information

Human Retina. Sharp Spot: Fovea Blind Spot: Optic Nerve

Human Retina. Sharp Spot: Fovea Blind Spot: Optic Nerve I am Watching YOU!! Human Retina Sharp Spot: Fovea Blind Spot: Optic Nerve Human Vision Optical Antennae: Rods & Cones Rods: Intensity Cones: Color Energy of Light 6 10 ev 10 ev 4 1 2eV 40eV KeV MeV Energy

More information

LUCX - THZ PROGRAM: OVERVIEW AND PROSPECTS

LUCX - THZ PROGRAM: OVERVIEW AND PROSPECTS LUCX - THZ PROGRAM: OVERVIEW AND PROSPECTS A. Aryshev On behalf of QB group and THz collaboration 14 Outline THz project overview LUCX activity LUCX Projects Overview THz program LUCX Laser system LUCX

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

FLASH at DESY. FLASH. Free-Electron Laser in Hamburg. The first soft X-ray FEL operating two undulator beamlines simultaneously

FLASH at DESY. FLASH. Free-Electron Laser in Hamburg. The first soft X-ray FEL operating two undulator beamlines simultaneously FLASH at DESY The first soft X-ray FEL operating two undulator beamlines simultaneously Katja Honkavaara, DESY for the FLASH team FEL Conference 2014, Basel 25-29 August, 2014 First Lasing FLASH2 > First

More information

First test experiments with FMB- Oxford direct drive DCM at the Sirius beamline of Synchrotron SOLEIL

First test experiments with FMB- Oxford direct drive DCM at the Sirius beamline of Synchrotron SOLEIL First test experiments with FMB- Oxford direct drive DCM at the Sirius beamline of Synchrotron SOLEIL Ciatto G., Moreno T., Aubert N., Feret P., Fontaine P. Synchrotron SOLEIL, L'Orme des Merisiers, Saint-Aubin,

More information

1. Introduction X-ray absorption fine structure (XAFS) is an element-specific powerful technique for chemical analysis. In general, XAFS spectra are o

1. Introduction X-ray absorption fine structure (XAFS) is an element-specific powerful technique for chemical analysis. In general, XAFS spectra are o Installation of the soft X-ray quick XAFS system in the SR Center of Ritsumeikan University Masashi Yoshimura 1, Kohji Nakanishi 1, Kei Mitsuhara 2, Toshiaki Ohta 1 1) The SR Center, Ritsumeikan University,

More information

12/08/2003 H. Schlarb, DESY, Hamburg

12/08/2003 H. Schlarb, DESY, Hamburg K. Bane, F.-J. Decker, P. Emma, K. Hacker, L. Hendrickson,, C. L. O Connell, P. Krejcik,, H. Schlarb*, H. Smith, F. Stulle*, M. Stanek, SLAC, Stanford, CA 94025, USA * σ z NDR 6 mm 1.2 mm 3-stage compression

More information

The Australian Synchrotron. Crowbar Less High Voltage Power Supplies (HVPS) 7th ESLS RF meeting, Oct Karl Zingre RF Engineer

The Australian Synchrotron. Crowbar Less High Voltage Power Supplies (HVPS) 7th ESLS RF meeting, Oct Karl Zingre RF Engineer The Australian Synchrotron Crowbar Less High Voltage Power Supplies (HVPS) 7th ESLS RF meeting, 16-17 Oct. 2003 Karl Zingre RF Engineer www.synchrotron.vic.gov.au Delivery schedule 2003 Construction works

More information

A Novel Multipass Optical System Oleg Matveev University of Florida, Department of Chemistry, Gainesville, Fl

A Novel Multipass Optical System Oleg Matveev University of Florida, Department of Chemistry, Gainesville, Fl A Novel Multipass Optical System Oleg Matveev University of Florida, Department of Chemistry, Gainesville, Fl BACKGROUND Multipass optical systems (MOS) are broadly used in absorption, Raman, fluorescence,

More information

Development of scalable laser technology for EUVL applications

Development of scalable laser technology for EUVL applications Development of scalable laser technology for EUVL applications Tomáš Mocek, Ph.D. Chief Scientist & Project Leader HiLASE Centre CZ.1.05/2.1.00/01.0027 Lasers for real-world applications Laser induced

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

Status of the 1.5 GeV Synchrotron Light Source DELTA and Related Accelerator Physics Activities

Status of the 1.5 GeV Synchrotron Light Source DELTA and Related Accelerator Physics Activities Status of the 1.5 GeV Synchrotron Light Source and Related Accelerator Physics Activities 2006 RuPAC, September 10-14, Novosibirsk Thomas Weis for the machine and accelerator physics group Dortmund University

More information

Introduction... 3 Slits for AIR Operation... 4 Slits in Vacuum Vessels... 5 Slits for High Vacuum Operation... 6 Custom Slits... 7 Steel Slits...

Introduction... 3 Slits for AIR Operation... 4 Slits in Vacuum Vessels... 5 Slits for High Vacuum Operation... 6 Custom Slits... 7 Steel Slits... Introduction... 3 Slits for AIR Operation... 4 Slits in Vacuum Vessels... 5 Slits for High Vacuum Operation... 6 Custom Slits... 7 Steel Slits... 10 Non-magnetic Options for Slits... 12 Slits with Passive

More information

CHAPTER 9 POSITION SENSITIVE PHOTOMULTIPLIER TUBES

CHAPTER 9 POSITION SENSITIVE PHOTOMULTIPLIER TUBES CHAPTER 9 POSITION SENSITIVE PHOTOMULTIPLIER TUBES The current multiplication mechanism offered by dynodes makes photomultiplier tubes ideal for low-light-level measurement. As explained earlier, there

More information

Chapter Ray and Wave Optics

Chapter Ray and Wave Optics 109 Chapter Ray and Wave Optics 1. An astronomical telescope has a large aperture to [2002] reduce spherical aberration have high resolution increase span of observation have low dispersion. 2. If two

More information

Demonstration of exponential growth and saturation at VUV wavelengths at the TESLA Test Facility Free-Electron Laser. P. Castro for the TTF-FEL team

Demonstration of exponential growth and saturation at VUV wavelengths at the TESLA Test Facility Free-Electron Laser. P. Castro for the TTF-FEL team Demonstration of exponential growth and saturation at VUV wavelengths at the TESLA Test Facility Free-Electron Laser P. Castro for the TTF-FEL team 100 nm 1 Å FEL radiation TESLA Test Facility at DESY

More information

(A) 2f (B) 2 f (C) f ( D) 2 (E) 2

(A) 2f (B) 2 f (C) f ( D) 2 (E) 2 1. A small vibrating object S moves across the surface of a ripple tank producing the wave fronts shown above. The wave fronts move with speed v. The object is traveling in what direction and with what

More information

The Potential for the Development of the X-Ray Free Electron Laser

The Potential for the Development of the X-Ray Free Electron Laser The Potential for the Development of the X-Ray Free Electron Laser TESLA-FEL 2004-02 E.L. Saldin, E.A. Schneidmiller, and M.V. Yurkov Deutsches Elektronen-Synchrotron (DESY), Notkestrasse 85, Hamburg,

More information

EUV Plasma Source with IR Power Recycling

EUV Plasma Source with IR Power Recycling 1 EUV Plasma Source with IR Power Recycling Kenneth C. Johnson kjinnovation@earthlink.net 1/6/2016 (first revision) Abstract Laser power requirements for an EUV laser-produced plasma source can be reduced

More information

Status of the Electron Beam Transverse Diagnostics with Optical Diffraction Radiation at FLASH

Status of the Electron Beam Transverse Diagnostics with Optical Diffraction Radiation at FLASH Status of the Electron Beam Transverse Diagnostics with Optical Diffraction Radiation at FLASH M. Castellano, E. Chiadroni, A. Cianchi, K. Honkavaara, G. Kube DESY FLASH Seminar Hamburg, 05/09/2006 Work

More information

X-Ray Detection Using SOI Monolithic Sensors at a Compact High-Brightness X-Ray Source Based on Inverse Compton Scattering

X-Ray Detection Using SOI Monolithic Sensors at a Compact High-Brightness X-Ray Source Based on Inverse Compton Scattering Abstract #: 1054 Conference: NSS (Oral) Accelerator Technologies and Beam Line Instrumentation X-Ray Detection Using SOI Monolithic Sensors at a Compact High-Brightness X-Ray Source Based on Inverse Compton

More information

Physics Requirements Document Document Title: SCRF 1.3 GHz Cryomodule Document Number: LCLSII-4.1-PR-0146-R0 Page 1 of 7

Physics Requirements Document Document Title: SCRF 1.3 GHz Cryomodule Document Number: LCLSII-4.1-PR-0146-R0 Page 1 of 7 Document Number: LCLSII-4.1-PR-0146-R0 Page 1 of 7 Document Approval: Originator: Tor Raubenheimer, Physics Support Lead Date Approved Approver: Marc Ross, Cryogenic System Manager Approver: Jose Chan,

More information

Spectroscopy in the UV and Visible: Instrumentation. Spectroscopy in the UV and Visible: Instrumentation

Spectroscopy in the UV and Visible: Instrumentation. Spectroscopy in the UV and Visible: Instrumentation Spectroscopy in the UV and Visible: Instrumentation Typical UV-VIS instrument 1 Source - Disperser Sample (Blank) Detector Readout Monitor the relative response of the sample signal to the blank Transmittance

More information

X-ray Transport Optics and Diagnostics Commissioning Report

X-ray Transport Optics and Diagnostics Commissioning Report LCLS-TN-4-15 UCRL-PROC-27494 X-ray Transport Optics and Diagnostics Commissioning Report Richard M. Bionta, Lawrence Livermore National Laboratory. October 23, 24 LCLS Diagnostics and Commissioning Workshop,

More information

HIGH MAGNETIC FIELD SUPERCONDUCTING MAGNETS FABRICATED IN BUDKER INP FOR SR GENERATION

HIGH MAGNETIC FIELD SUPERCONDUCTING MAGNETS FABRICATED IN BUDKER INP FOR SR GENERATION HIGH MAGNETIC FIELD SUPERCONDUCTING MAGNETS FABRICATED IN BUDKER INP FOR SR GENERATION K.V. Zolotarev *, A.M. Batrakov, S.V. Khruschev, G.N. Kulipanov, V.H. Lev, N.A. Mezentsev, E.G. Miginsky, V.A. Shkaruba,

More information

Observational Astronomy

Observational Astronomy Observational Astronomy Instruments The telescope- instruments combination forms a tightly coupled system: Telescope = collecting photons and forming an image Instruments = registering and analyzing the

More information

LCLS-II SXR Undulator Line Photon Energy Scanning

LCLS-II SXR Undulator Line Photon Energy Scanning LCLS-TN-18-4 LCLS-II SXR Undulator Line Photon Energy Scanning Heinz-Dieter Nuhn a a SLAC National Accelerator Laboratory, Stanford University, CA 94309-0210, USA ABSTRACT Operation of the LCLS-II undulator

More information

Introduction of New Products

Introduction of New Products Field Emission Electron Microscope JEM-3100F For evaluation of materials in the fields of nanoscience and nanomaterials science, TEM is required to provide resolution and analytical capabilities that can

More information

Oriel MS260i TM 1/4 m Imaging Spectrograph

Oriel MS260i TM 1/4 m Imaging Spectrograph Oriel MS260i TM 1/4 m Imaging Spectrograph MS260i Spectrograph with 3 Track Fiber on input and InstaSpec CCD on output. The MS260i 1 4 m Imaging Spectrographs are economical, fully automated, multi-grating

More information

Chapter 7. X-ray Nano-probe. 7.1 Introduction

Chapter 7. X-ray Nano-probe. 7.1 Introduction Chapter 7 X-ray Nano-probe 7.1 Introduction The X-ray Nanoprobe (XNP) Beamline Project was approved as one of the first seven beamlines at the Taiwan Photon Source (TPS). The XNP beamline and the associated

More information

Investigations towards an optical transmission line for longitudinal phase space measurements at PITZ

Investigations towards an optical transmission line for longitudinal phase space measurements at PITZ Investigations towards an optical transmission line for longitudinal phase space measurements at PITZ Sergei Amirian Moscow institute of physics and technology DESY, Zeuthen, September 2005 Email:serami85@yahoo.com

More information

Workshop IGLEX Andromède & ThomX 23 June 2016, LAL Orsay. The X-line of ThomX.

Workshop IGLEX Andromède & ThomX 23 June 2016, LAL Orsay. The X-line of ThomX. Workshop IGLEX Andromède & ThomX 23 June 2016, LAL Orsay The X-line of ThomX jerome.lacipiere@neel.cnrs.fr mjacquet@lal.in2p3.fr Brightness panorama of X-ray (10-100 kev) sources Synchrotron : not very

More information

Features. Applications. Optional Features

Features. Applications. Optional Features Features Compact, Rugged Design TEM Beam with M 2 < 1.2 Pulse Rates from Single Shot to 15 khz IR, Green, UV, and Deep UV Wavelengths Available RS232 Computer Control Patented Harmonic Generation Technology

More information

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information

LOS 1 LASER OPTICS SET

LOS 1 LASER OPTICS SET LOS 1 LASER OPTICS SET Contents 1 Introduction 3 2 Light interference 5 2.1 Light interference on a thin glass plate 6 2.2 Michelson s interferometer 7 3 Light diffraction 13 3.1 Light diffraction on a

More information

PGx11 series. Transform Limited Broadly Tunable Picosecond OPA APPLICATIONS. Available models

PGx11 series. Transform Limited Broadly Tunable Picosecond OPA APPLICATIONS. Available models PGx1 PGx3 PGx11 PT2 Transform Limited Broadly Tunable Picosecond OPA optical parametric devices employ advanced design concepts in order to produce broadly tunable picosecond pulses with nearly Fourier-transform

More information

MS260i 1/4 M IMAGING SPECTROGRAPHS

MS260i 1/4 M IMAGING SPECTROGRAPHS MS260i 1/4 M IMAGING SPECTROGRAPHS ENTRANCE EXIT MS260i Spectrograph with 3 Track Fiber on input and InstaSpec IV CCD on output. Fig. 1 OPTICAL CONFIGURATION High resolution Up to three gratings, with

More information

Background. Three basic directions for timing experiments were specified by the user community at the meeting:

Background. Three basic directions for timing experiments were specified by the user community at the meeting: Preliminary report on alternate bunch schemes for the MAX IV storage rings Stacey Sorensen, Nils Mårtensson, Raimund Feifel, Christian Stråhlman, Simon Leemann Background The primary design goal of the

More information

Chemistry 524--"Hour Exam"--Keiderling Mar. 19, pm SES

Chemistry 524--Hour Exam--Keiderling Mar. 19, pm SES Chemistry 524--"Hour Exam"--Keiderling Mar. 19, 2013 -- 2-4 pm -- 170 SES Please answer all questions in the answer book provided. Calculators, rulers, pens and pencils permitted. No open books allowed.

More information

Imaging in the EUV region. Eberhard Spiller

Imaging in the EUV region. Eberhard Spiller Imaging in the EUV region Eberhard Spiller Introduction to Imaging Applications Astronomy Microscopy EUV Lithography Direct Reconstruction E. Spiller, June 11, 2008 2 Imaging with light Waves move by λ

More information

Sub-ps (and sub-micrometer) developments at ELETTRA

Sub-ps (and sub-micrometer) developments at ELETTRA Sub-ps (and sub-micrometer) developments at ELETTRA Mario Ferianis SINCROTRONE TRIESTE, Italy The ELETTRA laboratory ELETTRA is a 3 rd generation synchrotron light source in Trieste (I) since 1993 up to

More information

Observation of X-rays generated by relativistic electrons in waveguide target mounted inside a betatron

Observation of X-rays generated by relativistic electrons in waveguide target mounted inside a betatron Observation of X-rays generated by relativistic electrons in waveguide target mounted inside a betatron V.V.Kaplin (1), V.V.Sohoreva (1), S.R.Uglov (1), O.F.Bulaev (2), A.A.Voronin (2), M.Piestrup (3),

More information

Scanning Electron Microscopy

Scanning Electron Microscopy Scanning Electron Microscopy For the semiconductor industry A tutorial Titel Vorname Nachname Titel Jobtitle, Bereich/Abteilung Overview Scanning Electron microscopy Scanning Electron Microscopy (SEM)

More information

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology Tetsuo Harada* 1,3, Masato Nakasuji 1,3, Teruhiko Kimura 1,3, Yutaka Nagata 2,3, Takeo Watanabe 1,3, Hiroo Kinoshita

More information

Experience of synchrotron sources and optics modelling at Diamond Light Source

Experience of synchrotron sources and optics modelling at Diamond Light Source Experience of synchrotron sources and optics modelling at Diamond Light Source Lucia Alianelli Outline Microfocus MX beamline optics design (Principal Beamline Scientist G. Evans) Surface and interface

More information

Fabrication of micro structures on curve surface by X-ray lithography

Fabrication of micro structures on curve surface by X-ray lithography Fabrication of micro structures on curve surface by X-ray lithography Yigui Li 1, Susumu Sugiyama 2 Abstract We demonstrate experimentally the x-ray lithography techniques to fabricate micro structures

More information

Laser Speckle Reducer LSR-3000 Series

Laser Speckle Reducer LSR-3000 Series Datasheet: LSR-3000 Series Update: 06.08.2012 Copyright 2012 Optotune Laser Speckle Reducer LSR-3000 Series Speckle noise from a laser-based system is reduced by dynamically diffusing the laser beam. A

More information

System Integration of the TPS. J.R. Chen NSRRC, Hsinchu

System Integration of the TPS. J.R. Chen NSRRC, Hsinchu System Integration of the TPS J.R. Chen NSRRC, Hsinchu OUTLINE I. Main features of the TPS II. Major concerns and intersystem effects of an advanced synchrotron light source III. Subsystems and intersystem

More information

Characteristics of point-focus Simultaneous Spatial and temporal Focusing (SSTF) as a two-photon excited fluorescence microscopy

Characteristics of point-focus Simultaneous Spatial and temporal Focusing (SSTF) as a two-photon excited fluorescence microscopy Characteristics of point-focus Simultaneous Spatial and temporal Focusing (SSTF) as a two-photon excited fluorescence microscopy Qiyuan Song (M2) and Aoi Nakamura (B4) Abstracts: We theoretically and experimentally

More information

Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: Signature:

Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: Signature: Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: PID: Signature: CLOSED BOOK. TWO 8 1/2 X 11 SHEET OF NOTES (double sided is allowed), AND SCIENTIFIC POCKET CALCULATOR

More information

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm Resolution of optical systems depends on the wavelength visible light λ = 500 nm Spatial Resolution = k λ NA EUV and SXR microscopy can potentially resolve full-field images with 10-100x smaller features

More information

New CD-SEM System for 100-nm Node Process

New CD-SEM System for 100-nm Node Process New CD-SEM System for 100-nm Node Process Hitachi Review Vol. 51 (2002), No. 4 125 Osamu Nasu Katsuhiro Sasada Mitsuji Ikeda Makoto Ezumi OVERVIEW: With the semiconductor device manufacturing industry

More information

Supplementary Materials

Supplementary Materials Supplementary Materials In the supplementary materials of this paper we discuss some practical consideration for alignment of optical components to help unexperienced users to achieve a high performance

More information

Physics Requirements for the CXI 0.1 micron Sample Chamber

Physics Requirements for the CXI 0.1 micron Sample Chamber PHYSICS REQUIREMENT DOCUMENT (PRD) Doc. No. SP-391-000-20 R1 LUSI SUB-SYSTEM Coherent X-Ray Imaging Physics Requirements for the Sébastien Boutet CXI Scientist, Author Signature Date Paul Montanez CXI

More information

Insertion Devices Lecture 4 Undulator Magnet Designs. Jim Clarke ASTeC Daresbury Laboratory

Insertion Devices Lecture 4 Undulator Magnet Designs. Jim Clarke ASTeC Daresbury Laboratory Insertion Devices Lecture 4 Undulator Magnet Designs Jim Clarke ASTeC Daresbury Laboratory Hybrid Insertion Devices Inclusion of Iron Simple hybrid example Top Array e - Bottom Array 2 Lines of Magnetic

More information

Commissioning of the ALICE SRF Systems at Daresbury Laboratory Alan Wheelhouse, ASTeC, STFC Daresbury Laboratory ESLS RF 1 st 2 nd October 2008

Commissioning of the ALICE SRF Systems at Daresbury Laboratory Alan Wheelhouse, ASTeC, STFC Daresbury Laboratory ESLS RF 1 st 2 nd October 2008 Commissioning of the ALICE SRF Systems at Daresbury Laboratory Alan Wheelhouse, ASTeC, STFC Daresbury Laboratory ESLS RF 1 st 2 nd October 2008 Overview ALICE (Accelerators and Lasers In Combined Experiments)

More information

Development of X-ray Tool For Critical- Dimension Metrology

Development of X-ray Tool For Critical- Dimension Metrology Development of X-ray Tool For Critical- Dimension Metrology Boris Yokhin, Alexander Krokhmal, Alexander Dikopoltsev, David Berman, Isaac Mazor Jordan Valley Semiconductors Ltd., Ramat Gabriel Ind. Zone,

More information