Rutgers University Assistant Teaching Professor, ECE Department, Sep Dec 2016

Size: px
Start display at page:

Download "Rutgers University Assistant Teaching Professor, ECE Department, Sep Dec 2016"

Transcription

1 Naghmeh Karimi Assistant Professor Department of Computer Science and Electrical Engineering University of Maryland, Baltimore County (UMBC) Address: 1000 Hilltop Circle, ITE 314 Baltimore, Maryland Phone: Fax: Web: Research Interests: Hardware Security and Design-for-Trust Fault Tolerance and Design-for-Reliability VLSI Testing and Design-for-Testability VLSI and Electronic Circuits Hardware Design and Synthesis Internet of Things Computer Architecture Computer Aided Design Education: Ph.D. in Electrical Engineering, 2010 Thesis: Concurrent Self-Testing of SoCs at Component Level Advisor: Zainalabedin Navabi, Co-advisors: Yiorgos Makris & Mehdi Sedighi M.Sc. in Hardware Computer Engineering, 2002 Thesis: Automatic Testability Enhancement in RTL Domain Advisor: Zainalabedin Navabi, Co-advisor: Mehrdad Nourani B.Sc. in Hardware Computer Engineering, 1997 Advisor: Zainalabedin Navabi Professional Positions: University of Maryland, Baltimore County (UMBC) Assistant Professor, CSEE Department, Jan 2017-present Assistant Teaching Professor, ECE Department, Sep Dec 2016 Visiting Assistant Professor, ECE Department, Sep Sep New York University Visiting Assistant Professor, ECE Department, Sep Sep New York University Postdoctoral Researcher, ECE Department, Feb Sep Duke University Postdoctoral Researcher, ECE Department, Feb Feb /5

2 Yale University Visiting Researcher, EE Department, July 2007-July 2009 Teaching Assistant, Spring 2009 University Of Kashan Lecturer, Sep Sep University Of Tehran Lecturer, Sep Dec Research Assistant, Sep Sep Teaching Assistant, Fall 2002 Selected Publications: Book Chapters: [1] N. Karimi and Z. Navabi, VHDL-AMS Hardware Description Language, In The VLSI Handbook, 2nd Edition, Chapter 91, Section XIII, CRC Press, USA, [2] N. Karimi and Z. Navabi, ASIC and Custom IC Cell Information Representation, In The VLSI Handbook, 2nd Edition, Chapter 93, Section XIII, CRC Press, USA, [3] N. Karimi and Z. Navabi, Timing Description Languages, In The VLSI Handbook, 2nd Edition, Chapter 95, Section XIII, CRC Press, USA. Journal Papers: [1] N. Karimi, A. Kanuparthi, X. Wang, O. Sinanoglu, and R. Karri, MAGIC: Malicious Aging in Circuits/Cores, ACM Trans. on Architecture and Code Optimization (TACO), vol. 12, no. 1, pp , [2] S. Kannan, N. Karimi, O. Sinanoglu, and R. Karri, Security Vulnerability of Emerging Non-Volatile Main Memories and Countermeasures, IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 34, no. 1, pp. 2-15, [3] S. Kannan, N. Karimi, R. Karri, and O. Sinanoglu, Modeling, Detection, and Diagnosis of Faults in Multi- Level Memristor Memories, IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol.34, no.5, pp , [4] A. DeTrano, N. Karimi, R. Karri, X. Guo, C. Carlet, S. Guilley, Exploiting Small Leakages in Masks to Turn a Second-Order Attack into a First-Order Attack and Improved Rotating Substitution Box Masking with Linear Code Cosets, The Scientific World Journal, vol. 2015, pp. 1-10, [5] N. Karimi and K. Chakrabarty, Detection, Diagnosis and Recovery from Clock-Domain Crossing Failures in Multi-Clock SoCs, IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 32, no. 9, pp , [6] N. Karimi, M. Maniatakos, C. Tirumurti, and Y. Makris, On the Impact of Performance Faults in Modern Microprocessors, Journal of Electronic Testing: Theory and Applications (JETTA), vol. 29, no. 3, pp , [7] N. Karimi, M. Maniatakos, A. Jas, C. Tirumurti, and Y. Makris, Workload-Cognizant Concurrent Error Detection in the Scheduler of a Modern Microprocessor, IEEE Trans. on Computers (TCOMP), vol. 60, no. 9, pp , [8] M. Maniatakos, N. Karimi, C. Tirumurti, A. Jas, and Y. Makris, Instruction-Level Impact Analysis of Low-Level Faults in a Modern Microprocessor Controller, IEEE Trans. on Computers (TCOMP), vol. 60, no. 9, pp , [9] N. Karimi, A. Alaghi, M. Sedghi, and Z. Navabi, Online Network-on-Chip Switch Fault Detection and Diagnosis Using Functional Switch Faults, Journal of Universal Computer Science (JUCS), vol. 14, no. 22, pp , /5

3 Conf. Papers: [1] N. Karimi, J. Danger, F. Lozac'h, and S. Guilley, Predictive Aging of Reliability of two Delay PUFs, Proc. Int l Conf. on Security, Privacy and Applied Cryptographic Engineering (SPACE), accepted for publication, [2] N. Karimi and K. Huang, Prognosis of NBTI Aging Using a Machine Learning Scheme, Proc. Int l Symp. on Defect and Fault Tolerance of VLSI Systems (DFTS), 2016, pp [3] X. Guo, N. Karimi, F. Regazzoni, C.Jin, and R. Karri Simulation and Analysis of Negative-Bias Temperature Instability Aging on Power Analysis Attacks, Proc. Hardware-Oriented Security and Trust Symp. (HOST), 2015, pp [4] A. DeTrano, S. Guilley, X. Guo, N. Karimi, R. Karri, Exploiting Small Leakages in Masks to Turn a Second-Order Attack into a First-Order Attack, Proc. Hardware and Architectural Support for Security and Privacy (HASP), 2015, pp. 7:1-7:5. [5] S. Kannan, N. Karimi, and O. Sinanoglu, Secure Memristor-Based Main Memory, Proc. Design Automation Conf. (DAC), 2014, pp.1-6. [6] S. Kannan, N. Karimi, R. Karri, and O. Sinanoglu, Detection, Diagnosis, and Repair of Faults in Memristor-Based Memories, Proc. VLSI Test Symp. (VTS), 2014, pp.1-6. [7] O. Sinanoglu, N. Karimi, J. Rajendran, R. Karri, Y. Jin, K. Huang, and Y. Makris, Reconciling the IC Test and Security Dichotomy, Proc. European Test Symp. (ETS), 2013, pp [8] N. Karimi, K. Chakrabarty, P. Gupta, and S. Patil, Test Generation for Clock Domain Crossing Faults in Integrated Circuits, Proc. Design Automation & Test in Europe Conf. (DATE), 2012, pp [9] N. Karimi, Z. Kong, K. Chakrabarty, P. Gupta, and S. Patil, Testing of Clock-Domain Crossing Faults in Multi-Core System-on-Chip, Proc. Asian Test Symp. (ATS), 2011, pp [10] N. Karimi, S. Sadeghi, and Z. Navabi, Network-on-Chip Concurrent Error Recovery Using Functional Switch Faults, Proc. Workshop on RTL and High Level Testing (WRTLT), [11] N. Karimi, M. Maniatakos, C. Tirumurti, A. Jas, and Y. Makris, Impact Analysis of Performance Faults in Modern Microprocessors, Proc. Int l. Conf. on Computer Design (ICCD), 2009, pp [12] M. Maniatakos, N. Karimi, C. Tirumurti, A. Jas, and Y. Makris, Instruction-Level Impact Comparison of RT- vs. Gate-Level Faults in a Modern Microprocessor Controller, Proc. VLSI Test Symp. (VTS), 2009, pp [13] N. Karimi, M. Maniatakos, Y. Makris, and A. Jas, On the Correlation between Controller Faults and Instruction-Level Errors in Modern Microprocessors, Proc. Int l. Test Conf. (ITC), 2008, pp [14] A. Alaghi, M. Sedghi, N. Karimi, and Z. Navabi, NoC Reconfiguration for Utilizing the Largest Fault- Free Connected Sub-Structure, Proc. Int l. Test Conf. (ITC), 2008, pp.1-1. [15] M. Maniatakos, N. Karimi, Y. Makris, A. Jas, and C. Tirumurti, Design and Evaluation of a Timestamp- Based Concurrent Error Detection Method (CED) in a Modern Microprocessor Controller, Proc. Int l Symp. on Defect and Fault Tolerance of VLSI Systems (DFTS), 2008, pp [16] A. Alaghi, M. Sedghi, N. Karimi, M. Fathy, and Z. Navabi, Reliable NoC Architecture Utilizing a Robust Rerouting Algorithm, Proc. Int l East-West Design and Test Symp. (EWDTS), 2008, pp [17] N. Karimi, S. Aminzadeh, S. Safari, and Z. Navabi, A Novel GA-Based High-Level Synthesis Technique to Enhance RT-level Concurrent Testing, Proc. Int l. Online Test Symp. (IOLTS), 2008, pp [18] A. Alaghi, N. Karimi, M. Sedghi, and Z. Navabi, Online NoC Switch Fault Detection and Diagnosis Using a High Level Fault Model, Proc. Int l. Symp. on Defect and Fault Tolerance of VLSI Systems (DFTS), 2007, pp [19] N. Karimi, S. Mirkhani, Z. Navabi, and F. Lombardi, RT Level Reliability Enhancement by Constructing Dynamic TMRs, Proc. ACM Great Lakes Symp. on VLSI (GlSVLSI), 2007, pp [20] N. Karimi, and Z. Navabi, A Dynamic Reconfiguration Method for Error Recovery of RT Level Designs, Proc. Int l. East-West Design and Test Symp. (EWDTS), 2007, pp [21] N. Karimi, S. Mirkhani, and Z. Navabi, ESTA: An Efficient Method for Reliability Enhancement of RT- Level Designs, Proc. Asian Test Symp. (ATS), 2006, pp /5

4 [22] N. Karimi, P. Riahi, and Z. Navabi, A Survey of Testability Measurements at Various Abstraction Levels, Proc. North Atlantic Test Workshop (NATW), 2003, pp [23] P. Riahi, Z. Navabi, N. Karimi, and F. Lombardi, A VPI-Based IP Core Serial Fault Simulation and Test Generation Methodology, Proc. North Atlantic Test Workshop (NATW), 2003, pp Teaching Experience: Graduate Course: 16:332:576 - Testing of VLSI Circuits (Spring 15-16) Undergraduate Course: 14:332:436 - VLSI Testing (Spring 15-16) Undergraduate Course: 14:332:331 - Computer Architecture & Assembly Language (Spring 15-16, Fall16) Graduate Course: 16:332:563 - Computer Architecture I (Fall 14-16) Graduate Course: 16:332:574 - CAD Digital VLSI Design (Fall 14-15) Undergraduate Course: 14:332:479 - VLSI Design (Fall 14-15) New York University (NYU-Poly) Graduate Course: EL VLSI System Testing (Fall 12-13) Graduate Course: EL Introduction to VLSI design (Spring 13) Graduate Course: EE Advanced project I (Spring 14) Undergraduate Course: EE Introduction to Very Large Scale Integrated Circuits (Spring 13-14) University of Tehran Undergraduate Course: Graph Theory (Fall 03 Spring 04) Undergraduate Course: Discrete Mathematics (Fall 04 06) University of Kashan Graduate Course: Advanced Computer Architecture (Spring 10 Fall 10) Undergraduate Course: Digital Logic Design (Spring 10 Fall 10) Honors and Awards: 1st place of innovation award, Capstone Project, ECE,, 2016 (Undergrad students) 2-year visiting faculty fellowship, School of Engineering, New York University, year postdoctoral scholarship, VLSI Testing Lab, Duke University, Travel grant, Young Faculty Workshop in Design Automation Conf. (DAC), USA, 2012 Travel grant, Workshop on Diversity on Design Automation and Test (WD2AT), USA, 2011 Ph.D. Thesis Award, Iran Nanotechnology initiative council, year visiting assistant in research scholarship, TRELA Lab, Yale University, Travel grant, Ph.D. Forum Design and Automation in Europe Conf. (DATE), Germany, 2006 Ph.D. Research Award, ECE Department, University of Tehran, st in Ph.D. Entrance Exam, Computer Engineering, University of Tehran, 2002 Ranked under 100 in Computer Olympiad among all high school students, Iran, st in Provincial Scientific Competitions, Iran, 1990, 1992 Professional Service: Program Committee IEEE Int l Test Conf. (ITC 2015, 2016) IEEE Int l Conf. on VLSI Design (VLSID 2016, 2017) IEEE Asian Test Symp. (ATS 2016) IEEE Great Lakes Symp. on VLSI (GLSVLSI 2017) IEEE North Atlantic Test Workshop (NATW 2016) 4/5

5 IEEE Int l Symp. on Defect and Fault Tolerance in VLSI and Nanotechnology Sys. (DFTS 2014, 2015, 2016) IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2014, 2015, 2016) Organizing Committee IEEE VLSI Test Symp. (VTS 2017) Technical Referee IEEE Transactions on Computers (TCOMP) IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) IEEE Transactions on Very Large Scale Integration Systems (TVLSI) ACM Journal of Emerging Technologies in Computing (JETC) ACM Transactions on Design Automation of Electronic Systems (TODAES) Elsevier Journal of Microprocessors and Microsystems - Embedded Hardware Design (MICPRO) Journal of Electronic Testing: Theory and Applications (JETTA) IEEE Design & Test of Computers IEEE Int l Test Conf. (ITC) IEEE VLSI Test Symp. (VTS) IEEE Design and Automation Conf. (DAC) IEEE Design Automation and Test in Europe (DATE) IEEE Int l Symp. on Hardware-Oriented Security and Trust (HOST) IEEE Int l Conf. of Computer-Aided Design (ICCD) IEEE European Test Symp. (ETS) IEEE Asian Test Symp. (ATS) IEEE On-line Testing Symp. (IOLTS) IEEE Int l Conf. on Electronics, Circuits, and Systems (ICECS) Session Chair IEEE Int l Test Conf. (ITC 2015) IEEE VLSI Test Symp. (VTS 2014, 2016) IEEE Int l Symp. on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS 2013, 2016) IEEE/ACM Int l Symp. on NanoScale Architectures (NANOARCH 2013) Workshop on Trustworthy Hardware (2013) Judge for Best paper Award IEEE/ACM Int l Symp. on NanoScale Architectures (NANOARCH 2013) IEEE Int l Symp. on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS 2016) Invited Talk: Stevens Institute of Technology, 2014 Title: Improving Reliability of Modern Microprocessors IEEE VLSI Test Symp. (VTS), Elevator Talk, 2014 Title: Malicious Aging Acceleration in Processors IEEE VLSI Test Symp. (VTS), Elevator Talk, 2013 Title: Accelerating NBTI Aging to Wear out Digital Circuits 5/5

4202 E. Fowler Ave., ENB118, Tampa, Florida kose

4202 E. Fowler Ave., ENB118, Tampa, Florida kose Department of Electrical Engineering, 813.974.6636 (phone), kose@usf.edu 4202 E. Fowler Ave., ENB118, Tampa, Florida 33620 http://www.eng.usf.edu/ kose Research Interests Research interests: On-chip voltage

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

WEI HUANG Curriculum Vitae

WEI HUANG Curriculum Vitae 1 WEI HUANG Curriculum Vitae 4025 Duval Road, Apt 2538 Phone: (434) 227-6183 Austin, TX 78759 Email: wh6p@virginia.edu (preferred) https://researcher.ibm.com/researcher/view.php?person=us-huangwe huangwe@us.ibm.com

More information

MULTI-LEVEL STOCHASTIC PROCESSING CIRCUITS

MULTI-LEVEL STOCHASTIC PROCESSING CIRCUITS . Porto Alegre, 29 de abril a 3 de maio de 2013 MULTI-LEVEL STOCHASTIC PROCESSING CIRCUITS KONZGEN, PIETRO SERPA pietroserpa@yahoo.com.br INSTITUTO FEDERAL SUL-RIO-GRANDENSE SOUZA JR, ADÃO ANTÔNIO adaojr@gmail.com

More information

shangupt 2260 Hayward St. #4861, Ann Arbor, MI 48105, Ph:

shangupt 2260 Hayward St. #4861, Ann Arbor, MI 48105, Ph: Shantanu Gupta www.eecs.umich.edu/ shangupt 2260 Hayward St. #4861, Ann Arbor, MI 48105, Ph: 734-276-3331 shangupt@umich.edu RESEARCH INTERESTS Architecture and Compiler level solutions for Fault Tolerance

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit I J C T A, 9(15), 2016, pp. 7465-7470 International Science Press Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit B. Gobinath* and B. Viswanathan** ABSTRACT

More information

Data Word Length Reduction for Low-Power DSP Software

Data Word Length Reduction for Low-Power DSP Software EE382C: LITERATURE SURVEY, APRIL 2, 2004 1 Data Word Length Reduction for Low-Power DSP Software Kyungtae Han Abstract The increasing demand for portable computing accelerates the study of minimizing power

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Design for Test for Digital ICs and Embedded Core Systems. Digital System Testing and Testable Design

Design for Test for Digital ICs and Embedded Core Systems. Digital System Testing and Testable Design Books A. Crouch. Design for Test for Digital ICs and Embedded Core Systems Prentice Hall, 1999. M. Abramovici, M. Breuer, A. Friedman. Digital System Testing and Testable Design Computer Science Press,

More information

VLSI testing Introduction

VLSI testing Introduction VLSI testing Introduction Virendra Singh Associate Professor Computer Architecture and Dependable Systems Lab Dept. of Electrical Engineering Indian Institute of Technology Bombay, Mumbai viren@ee.iitb.ac.in

More information

EDUCATION RESEARCH INTERESTS RESEARCH EXPERIENCE

EDUCATION RESEARCH INTERESTS RESEARCH EXPERIENCE Amir Masoud Nasri-Nasrabadi Department of Electrical and Computer Engineering University of British Columbia, Vancouver, Canada 2332 Main Mall, Vancouver, BC Canada V6T 1Z4 URL: http://ca.linkedin.com/pub/amir-masoud-nasri/35/69b/2b0

More information

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING 3 rd Int. Conf. CiiT, Molika, Dec.12-15, 2002 31 DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING M. Stojčev, G. Jovanović Faculty of Electronic Engineering, University of Niš Beogradska

More information

An Area Efficient Decomposed Approximate Multiplier for DCT Applications

An Area Efficient Decomposed Approximate Multiplier for DCT Applications An Area Efficient Decomposed Approximate Multiplier for DCT Applications K.Mohammed Rafi 1, M.P.Venkatesh 2 P.G. Student, Department of ECE, Shree Institute of Technical Education, Tirupati, India 1 Assistant

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Kosuke Imamura, Assistant Professor, Department of Computer Science, Eastern Washington University

Kosuke Imamura, Assistant Professor, Department of Computer Science, Eastern Washington University CURRICULUM VITAE Kosuke Imamura, Assistant Professor, Department of Computer Science, Eastern Washington University EDUCATION: PhD Computer Science, University of Idaho, December

More information

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL E.Sangeetha 1 ASP and D.Tharaliga 2 Department of Electronics and Communication Engineering, Tagore College of Engineering and Technology,

More information

A Novel Architecture for Quantum-Dot Cellular Automata Multiplexer

A Novel Architecture for Quantum-Dot Cellular Automata Multiplexer www.ijcsi.org 55 A Novel Architecture for Quantum-Dot Cellular Automata Multiplexer Arman Roohi 1, Hossein Khademolhosseini 2, Samira Sayedsalehi 3, Keivan Navi 4 1,2,3 Department of Computer Engineering,

More information

Testing Priority Address Encoder Faults of Content Addressable Memories

Testing Priority Address Encoder Faults of Content Addressable Memories Testing Priority Address Encoder Faults of Content Addressable emories Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jungli, Taiwan,

More information

Computer Logical Design Laboratory

Computer Logical Design Laboratory Division of Computer Engineering Computer Logical Design Laboratory Tsuneo Tsukahara Professor Tsuneo Tsukahara: Yukihide Kohira Senior Associate Professor Yu Nakajima Research Assistant Software-Defined

More information

A New Adaptive Analog Test and Diagnosis System

A New Adaptive Analog Test and Diagnosis System IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 49, NO. 2, APRIL 2000 223 A New Adaptive Analog Test and Diagnosis System Érika F. Cota, Marcelo Negreiros, Luigi Carro, and Marcelo Lubaszewski

More information

Faster and Low Power Twin Precision Multiplier

Faster and Low Power Twin Precision Multiplier Faster and Low Twin Precision V. Sreedeep, B. Ramkumar and Harish M Kittur Abstract- In this work faster unsigned multiplication has been achieved by using a combination High Performance Multiplication

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY A PATH FOR HORIZING YOUR INNOVATIVE WORK DESIGN OF LOW POWER MULTIPLIERS USING APPROXIMATE ADDER MR. PAWAN SONWANE 1, DR.

More information

Modulation Based On-Chip Ramp Generator for ADC BIST

Modulation Based On-Chip Ramp Generator for ADC BIST Modulation Based On-Chip Ramp Generator for ADC BIST WAG YOG-SHEG, WAG JI-XIAG, LAI FEG-CHAG, YE YI-ZHEG Microelectronics Center Harbin Institute of Technology 92#, Xidazhi Street, Harbin, Heilongjiang,

More information

University of Massachusetts Amherst Department of Civil and Environmental Engineering. Newton, MA Transportation Engineer Nov Aug 2007

University of Massachusetts Amherst Department of Civil and Environmental Engineering. Newton, MA Transportation Engineer Nov Aug 2007 Song Gao 214C Marston Hall 130 Natural Resources Road Amherst, MA 01003-0724 Tel: (413) 545-2688 Fax: (413) 545-9569 E-mail: songgao@ecs.umass.edu Education Massachusetts Institute of Technology Cambridge,

More information

UNEXPECTED through-silicon-via (TSV) defects may occur

UNEXPECTED through-silicon-via (TSV) defects may occur IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 10, OCTOBER 2017 1759 Grouping-Based TSV Test Architecture for Resistive Open and Bridge Defects in 3-D-ICs Young-woo

More information

Testability Trade-offs for BIST Data Paths

Testability Trade-offs for BIST Data Paths Testability Trade-offs for BIST Data Paths Nicola Nicolici and Bashir M. Al-Hashimi Your Reference:JETT76601 Initial Submission - 20 July 2001 Revised Submission - 16 June 2003 Final Submission - 21 January

More information

Dr. Weidong Kuang. The University of Texas Rio Grande Valley Department of Electrical Engineering (956)

Dr. Weidong Kuang. The University of Texas Rio Grande Valley Department of Electrical Engineering (956) Dr. Weidong Kuang The University of Texas Rio Grande Valley Department of Electrical Engineering (956) 665-7133 Email: weidong.kuang@utrgv.edu EDUCATION PhD, University of Central Florida, 2003 Major:

More information

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER 1 CH.JAYA PRAKASH, 2 P.HAREESH, 3 SK. FARISHMA 1&2 Assistant Professor, Dept. of ECE, 3 M.Tech-Student, Sir CR Reddy College

More information

A Design Comparison of Low Power 50 nm Technology Based Inverter with Sleep Transistor and MTCMOS Scheme

A Design Comparison of Low Power 50 nm Technology Based Inverter with Sleep Transistor and MTCMOS Scheme A Design Comparison of Low Power 50 nm Technology Based Inverter with Sleep Transistor and MTCMOS Scheme Arun Kumar Sunaniya, PhD Scholar MANIT Bhopal arun.sunaniya@gmail.com Kavita Khare Associate professor

More information

Changjiang Yang. Computer Vision, Pattern Recognition, Machine Learning, Robotics, and Scientific Computing.

Changjiang Yang. Computer Vision, Pattern Recognition, Machine Learning, Robotics, and Scientific Computing. Changjiang Yang Mailing Address: Department of Computer Science University of Maryland College Park, MD 20742 Lab Phone: (301)405-8366 Cell Phone: (410)299-9081 Fax: (301)314-9658 Email: yangcj@cs.umd.edu

More information

REALIAZATION OF LOW POWER VLSI ARCHITECTURE FOR RECONFIGURABLE FIR FILTER USING DYNAMIC SWITCHING ACITIVITY OF MULTIPLIERS

REALIAZATION OF LOW POWER VLSI ARCHITECTURE FOR RECONFIGURABLE FIR FILTER USING DYNAMIC SWITCHING ACITIVITY OF MULTIPLIERS REALIAZATION OF LOW POWER VLSI ARCHITECTURE FOR RECONFIGURABLE FIR FILTER USING DYNAMIC SWITCHING ACITIVITY OF MULTIPLIERS M. Sai Sri 1, K. Padma Vasavi 2 1 M. Tech -VLSID Student, Department of Electronics

More information

A Novel Encoding Scheme for Cross-Talk Effect Minimization Using Error Detecting and Correcting Codes

A Novel Encoding Scheme for Cross-Talk Effect Minimization Using Error Detecting and Correcting Codes International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 A Novel Encoding Scheme for Cross-Talk Effect Minimization Using Error Detecting and Correcting Codes Souvik

More information

Mohammad Jaber Borran

Mohammad Jaber Borran Mohammad Jaber Borran Department 6100 Main Street, MS-366 Phone: (713) 823-7938 Fax: (734) 758-7317 Email: mohammad@rice.edu URL: http://www.ece.rice.edu/ mohammad Education Ph.D. in, Expected May 2003,

More information

Design Of Arthematic Logic Unit using GDI adder and multiplexer 1

Design Of Arthematic Logic Unit using GDI adder and multiplexer 1 Design Of Arthematic Logic Unit using GDI adder and multiplexer 1 M.Vishala, 2 Maddana, 1 PG Scholar, Dept of VLSI System Design, Geetanjali college of engineering & technology, 2 HOD Dept of ECE, Geetanjali

More information

BILBO-friendly Hybrid BIST Architecture with Asymmetric Polynomial Reseeding

BILBO-friendly Hybrid BIST Architecture with Asymmetric Polynomial Reseeding The 16th CSI International Symposium on Computer Architecture and Digital Systems (CADS 2012) BILBO-friendly Hybrid BIST Architecture with Asymmetric Polynomial Reseeding and el_sadredini@comp.iust.ac.ir,

More information

Abhishek Gupta CONTACT INFORMATION. 360 Coordinated Science Laboratory

Abhishek Gupta CONTACT INFORMATION. 360 Coordinated Science Laboratory Abhishek Gupta CONTACT INFORMATION RESEARCH INTERESTS 360 Coordinated Science Laboratory +1-217-819-6382 University of Illinois at Urbana-Champaign gupta54@illinois.edu 1308 W Main Street publish.illinois.edu/gupta54/

More information

Gang He from SWUST (South West University of Science and Technology, China) introduces his wide range of research projects in biomedical engineering.

Gang He from SWUST (South West University of Science and Technology, China) introduces his wide range of research projects in biomedical engineering. Gang He from SWUST (South West University of Science and Technology, China) introduces his wide range of research projects in biomedical engineering. Another distinguished guest speaker Dr. Okamoto, the

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Lecture 1: Introduction to Digital System Design & Co-Design

Lecture 1: Introduction to Digital System Design & Co-Design Design & Co-design of Embedded Systems Lecture 1: Introduction to Digital System Design & Co-Design Computer Engineering Dept. Sharif University of Technology Winter-Spring 2008 Mehdi Modarressi Topics

More information

University of Technology. Control and Systems Eng. Dept. Curriculum Vitae (C.V.)

University of Technology. Control and Systems Eng. Dept. Curriculum Vitae (C.V.) University of Technology Control and Dept. Curriculum Vitae (C.V.) Last updated: 1/8/2017 Full name: Assist. Prof. Dr. LAITH JASIM SAUD Gender: Date of birth : Nationality : Place of work : Languages:

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

DESIGN AND TEST OF CONCURRENT BIST ARCHITECTURE

DESIGN AND TEST OF CONCURRENT BIST ARCHITECTURE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 7, July 2015, pg.21

More information

Automated FSM Error Correction for Single Event Upsets

Automated FSM Error Correction for Single Event Upsets Automated FSM Error Correction for Single Event Upsets Nand Kumar and Darren Zacher Mentor Graphics Corporation nand_kumar{darren_zacher}@mentor.com Abstract This paper presents a technique for automatic

More information

A New Configurable Full Adder For Low Power Applications

A New Configurable Full Adder For Low Power Applications A New Configurable Full Adder For Low Power Applications Astha Sharma 1, Zoonubiya Ali 2 PG Student, Department of Electronics & Telecommunication Engineering, Disha Institute of Management & Technology

More information

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Abstract Pseudo-exhaustive pattern generators for built-in self-test (BIST) provide high fault

More information

Non-Invasive Detection Method for Recycled Flash Memory using Timing Characteristics

Non-Invasive Detection Method for Recycled Flash Memory using Timing Characteristics Article Non-Invasive Detection Method for Recycled Flash Memory using Timing Characteristics Sadman Sakib Biswajit Ray*, Preeti Kumari, B. M. S. Bahar Talukder, Md Tauhidur Rahman, and Department of Electrical

More information

AREA OPTIMIZED ARITHMETIC AND LOGIC UNIT USING LOW POWER 1-BIT FULL ADDER

AREA OPTIMIZED ARITHMETIC AND LOGIC UNIT USING LOW POWER 1-BIT FULL ADDER International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN 2249-684X Vol. 3, Issue 3, Aug 2013, 115-120 TJPRC Pvt. Ltd. AREA OPTIMIZED ARITHMETIC

More information

Curriculum Vitae. Abd El Khalick Mohammad, 17 Nov Doctor of Engineering H-index: 6 and Citation: 107 (Google Scholar) 1.

Curriculum Vitae. Abd El Khalick Mohammad, 17 Nov Doctor of Engineering H-index: 6 and Citation: 107 (Google Scholar) 1. Curriculum Vitae Abd El Khalick Mohammad, 17 Nov. 1984 Doctor of Engineering H-index: 6 and Citation: 107 (Google Scholar) Previous position: Research Fellow Centre for E-City EXQUISITUS, Electrical and

More information

Curriculum Vitae. Education. Distinctions. Personal info

Curriculum Vitae. Education. Distinctions. Personal info Personal info Full name: Date/Place of birth: February 24 th, 1982, Athens, Greece Nationality: Greek e-mail: evlogaras@yahoo.com Personal website: http://cgi.di.uoa.gr/~evlog/ Education 2008-2015, Ph.D.

More information

Design of CMOS Based PLC Receiver

Design of CMOS Based PLC Receiver Available online at: http://www.ijmtst.com/vol3issue10.html International Journal for Modern Trends in Science and Technology ISSN: 2455-3778 :: Volume: 03, Issue No: 10, October 2017 Design of CMOS Based

More information

Compressor Based Area-Efficient Low-Power 8x8 Vedic Multiplier

Compressor Based Area-Efficient Low-Power 8x8 Vedic Multiplier Compressor Based Area-Efficient Low-Power 8x8 Vedic Multiplier J.Sowjanya M.Tech Student, Department of ECE, GDMM College of Engineering and Technology. Abstrct: Multipliers are the integral components

More information

Methods for Reducing the Activity Switching Factor

Methods for Reducing the Activity Switching Factor International Journal of Engineering Research and Development e-issn: 2278-67X, p-issn: 2278-8X, www.ijerd.com Volume, Issue 3 (March 25), PP.7-25 Antony Johnson Chenginimattom, Don P John M.Tech Student,

More information

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Dan Holcomb Wenchao Li Sanjit A. Seshia Department of EECS University of California, Berkeley Design Automation and Test in

More information

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 5, Ver. II (Sep. - Oct. 2016), PP 15-21 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Globally Asynchronous Locally

More information

AD-AI FUNCTIONAL TESTING OF LSI/VLSI BASED SYSTEMS WITH / MEASURE OF FAULT COVERAG(AJ) STATE UNIV OF NEW YORK AT ALBANY RESEARCH FOUNDATION S V

AD-AI FUNCTIONAL TESTING OF LSI/VLSI BASED SYSTEMS WITH / MEASURE OF FAULT COVERAG(AJ) STATE UNIV OF NEW YORK AT ALBANY RESEARCH FOUNDATION S V AD-AI61 928 FUNCTIONAL TESTING OF LSI/VLSI BASED SYSTEMS WITH / MEASURE OF FAULT COVERAG(AJ) STATE UNIV OF NEW YORK AT ALBANY RESEARCH FOUNDATION S V SUE 08 FEB 83 UNCLASSIFIED DAABST-82-K-JB56 F/G 9/2

More information

A Novel Approach to 32-Bit Approximate Adder

A Novel Approach to 32-Bit Approximate Adder A Novel Approach to 32-Bit Approximate Adder Shalini Singh 1, Ghanshyam Jangid 2 1 Department of Electronics and Communication, Gyan Vihar University, Jaipur, Rajasthan, India 2 Assistant Professor, Department

More information

Studying DAC Capacitor-Array Degradation in Charge-Redistribution SAR ADCs

Studying DAC Capacitor-Array Degradation in Charge-Redistribution SAR ADCs Studying DAC Capacitor-Array Degradation in Charge-Redistribution SAR ADCs Muhammad Aamir Khan, Hans G. Kerkhoff Testable Design and Test of Integrated Systems (TDT) Group, University of Twente, Centre

More information

AN EFFICIENT MULTI RESOLUTION FILTER BANK BASED ON DA BASED MULTIPLICATION

AN EFFICIENT MULTI RESOLUTION FILTER BANK BASED ON DA BASED MULTIPLICATION AN EFFICIENT MULTI RESOLUTION FILTER BANK BASED ON DA BASED MULTIPLICATION Namitha Jose M 1 and U Hari 2 1 PG student Department of ECE 2 Asst. Professor Department of ECE ABSTRACT Multi-resolution filter

More information

Address: 9110 Judicial Dr., Apt. 8308, San Diego, CA Phone: (240) URL:

Address: 9110 Judicial Dr., Apt. 8308, San Diego, CA Phone: (240) URL: Yongle Wu CONTACT INFORMATION Address: 9110 Judicial Dr., Apt. 8308, San Diego, CA 92122 Phone: (240)678-6461 Email: wuyongle@gmail.com URL: http://www.cspl.umd.edu/yongle/ EDUCATION University of Maryland,

More information

EECS 579 Fall What is Testing?

EECS 579 Fall What is Testing? EECS 579 Fall 2001 Recap Text (new): Essentials of Electronic Testing by M. Bushnell & V. Agrawal, Kluwer, Boston, 2000. Class Home Page: http://www.eecs.umich.edu/courses/eecs579 Lecture notes and other

More information

Low Power Error Correcting Codes Using Majority Logic Decoding

Low Power Error Correcting Codes Using Majority Logic Decoding RESEARCH ARTICLE OPEN ACCESS Low Power Error Correcting Codes Using Majority Logic Decoding A. Adline Priya., II Yr M. E (Communicasystems), Arunachala College Of Engg For Women, Manavilai, adline.priya@yahoo.com

More information

MDSI: Signal Integrity Interconnect Fault Modeling and Testing for SoCs

MDSI: Signal Integrity Interconnect Fault Modeling and Testing for SoCs JOURNAL OF ELECTRONIC TESTING: Theory and Applications 23, 357 362, 2007 * 2007 Springer Science + Business Media, LLC Manufactured in The United States. DOI: 10.1007/s10836-006-0630-0 MDSI: Signal Integrity

More information

POWER OPTIMIZED DATAPATH UNITS OF HYBRID EMBEDDED CORE ARCHITECTURE USING CLOCK GATING TECHNIQUE

POWER OPTIMIZED DATAPATH UNITS OF HYBRID EMBEDDED CORE ARCHITECTURE USING CLOCK GATING TECHNIQUE POWER OPTIMIZED DATAPATH UNITS OF HYBRID EMBEDDED CORE ARCHITECTURE USING CLOCK GATING TECHNIQUE ABSTRACT T.Subhashini and M.Kamaraju Department of Electronics and Communication Engineering, Gudlavalleru

More information

Multiple Transition Model and Enhanced Boundary Scan Architecture to Test Interconnects for Signal Integrity

Multiple Transition Model and Enhanced Boundary Scan Architecture to Test Interconnects for Signal Integrity Multiple Model and Enhanced Boundary Scan Architecture to Test Interconnects for Signal Integrity M. H. Tehranipour, N. Ahmed, M. Nourani Center for Integrated Circuits & Systems The University of Texas

More information

An Efficient Design of Low Power Speculative Han-Carlson Adder Using Concurrent Subtraction

An Efficient Design of Low Power Speculative Han-Carlson Adder Using Concurrent Subtraction An Efficient Design of Low Power Speculative Han-Carlson Adder Using Concurrent Subtraction S.Sangeetha II ME - VLSI Design Akshaya College of Engineering and Technology Coimbatore, India S.Kamatchi Assistant

More information

1. Description of the research proposal

1. Description of the research proposal 1. Description of the research proposal a) Duration of the project and expected total cost Duration 4 years (2006-2009) with total cost 839 000.- EEK b) General background About the importance of the research

More information

A Novel 128-Bit QCA Adder

A Novel 128-Bit QCA Adder International Journal of Emerging Engineering Research and Technology Volume 2, Issue 5, August 2014, PP 81-88 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) A Novel 128-Bit QCA Adder V Ravichandran

More information

induced Aging g Co-optimization for Digital ICs

induced Aging g Co-optimization for Digital ICs International Workshop on Emerging g Circuits and Systems (2009) Leakage power and NBTI- induced Aging g Co-optimization for Digital ICs Yu Wang Assistant Prof. E.E. Dept, Tsinghua University, China On-going

More information

An Optimized Design System for Flip-Flop Grouping Using Low Power Clock Gating

An Optimized Design System for Flip-Flop Grouping Using Low Power Clock Gating An Optimized Design System for Flip-Flop Grouping Using Low Power Clock Gating Dr. D. Mahesh Kumar Assistant Professor in Electronics, PSG College of Arts & Science, Coimbatore 14, Tamil Nadu, India. Abstract

More information

Curriculum Vitae. DrG Bijoy Antony Jose. DrG Bijoy Antony Jose. Conferences. Projects. Publications. Experience. Professional Training.

Curriculum Vitae. DrG Bijoy Antony Jose. DrG Bijoy Antony Jose. Conferences. Projects. Publications. Experience. Professional Training. DrG Bijoy Antony Jose Assistant Professor Department of Electronics Cochin University of Science and Technology Curriculum Vitae Experience Industrial Teaching 6 years Professional Training Awards 3 Achievements

More information

A High Performance Variable Body Biasing Design with Low Power Clocking System Using MTCMOS

A High Performance Variable Body Biasing Design with Low Power Clocking System Using MTCMOS A High Performance Variable Body Biasing Design with Low Power Clocking System Using MTCMOS G.Lourds Sheeba Department of VLSI Design Madha Engineering College, Chennai, India Abstract - This paper investigates

More information

Efficient logic architectures for CMOL nanoelectronic circuits

Efficient logic architectures for CMOL nanoelectronic circuits Efficient logic architectures for CMOL nanoelectronic circuits C. Dong, W. Wang and S. Haruehanroengra Abstract: CMOS molecular (CMOL) circuits promise great opportunities for future hybrid nanoscale IC

More information

ZHIHUI ZHU. Johns Hopkins University Phone: (720) N Charles St., Baltimore MD 21218, USA Web: mines.edu/ zzhu

ZHIHUI ZHU. Johns Hopkins University Phone: (720) N Charles St., Baltimore MD 21218, USA Web: mines.edu/ zzhu ZHIHUI ZHU Johns Hopkins University Phone: (720) 472-8171 Center for Imaging Science Email: zhihuizhu90@gmail.edu 3400 N Charles St., Baltimore MD 21218, USA Web: mines.edu/ zzhu RESEARCH INTERESTS Theory

More information

Visvesvaraya Technological University, Belagavi

Visvesvaraya Technological University, Belagavi Time Table for M.TECH. Examinations, June / July 2017 M. TECH. 2010 Scheme 2011 Scheme 2012 Scheme 2014 Scheme 2016 Scheme [CBCS] Semester I II III I II III I II III I II IV I II Time Date, Day 14/06/2017,

More information

Design A Redundant Binary Multiplier Using Dual Logic Level Technique

Design A Redundant Binary Multiplier Using Dual Logic Level Technique Design A Redundant Binary Multiplier Using Dual Logic Level Technique Sreenivasa Rao Assistant Professor, Department of ECE, Santhiram Engineering College, Nandyala, A.P. Jayanthi M.Tech Scholar in VLSI,

More information

An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension

An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension Monisha.T.S 1, Senthil Prakash.K 2 1 PG Student, ECE, Velalar College of Engineering and Technology

More information

Curriculum Vitae Mahdi Nikdast

Curriculum Vitae Mahdi Nikdast CV: Mahdi Nikdast (April 1, 2019) 1 Curriculum Vitae Mahdi Nikdast Last updated: April 1, 2019 Contents 1 Personal Information 3 1.1 Contact Information....................................... 3 1.2 Education............................................

More information

A FPGA Implementation of Power Efficient Encoding Schemes for NoC with Error Detection

A FPGA Implementation of Power Efficient Encoding Schemes for NoC with Error Detection IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 70-76 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org A FPGA Implementation of Power

More information

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions IEEE ICET 26 2 nd International Conference on Emerging Technologies Peshawar, Pakistan 3-4 November 26 Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

More information

On the Restore Operation in MTJ-Based Nonvolatile SRAM Cells

On the Restore Operation in MTJ-Based Nonvolatile SRAM Cells IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 On the Restore Operation in MTJ-Based Nonvolatile SRAM Cells Ke Chen, Jie Han, and Fabrizio Lombardi Abstract This brief investigates

More information

An Efficient Method for Implementation of Convolution

An Efficient Method for Implementation of Convolution IAAST ONLINE ISSN 2277-1565 PRINT ISSN 0976-4828 CODEN: IAASCA International Archive of Applied Sciences and Technology IAAST; Vol 4 [2] June 2013: 62-69 2013 Society of Education, India [ISO9001: 2008

More information

DIFFERENTIAL power analysis (DPA) attacks can obtain

DIFFERENTIAL power analysis (DPA) attacks can obtain 438 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 5, MAY 2016 Charge-Withheld Converter-Reshuffling: A Countermeasure Against Power Analysis Attacks Weize Yu and Selçuk Köse,

More information

Published by: PIONEER RESEARCH & DEVELOPMENT GROUP (www.prdg.org) 1

Published by: PIONEER RESEARCH & DEVELOPMENT GROUP (www.prdg.org) 1 Design Of Low Power Approximate Mirror Adder Sasikala.M 1, Dr.G.K.D.Prasanna Venkatesan 2 ME VLSI student 1, Vice Principal, Professor and Head/ECE 2 PGP college of Engineering and Technology Nammakkal,

More information

32-Bit CMOS Comparator Using a Zero Detector

32-Bit CMOS Comparator Using a Zero Detector 32-Bit CMOS Comparator Using a Zero Detector M Premkumar¹, P Madhukumar 2 ¹M.Tech (VLSI) Student, Sree Vidyanikethan Engineering College (Autonomous), Tirupati, India 2 Sr.Assistant Professor, Department

More information

Hudson Turner Associate Professor of Computer Science. University of Minnesota, Duluth

Hudson Turner Associate Professor of Computer Science. University of Minnesota, Duluth Computer Science Department 1114 Kirby Drive University of Minnesota, Duluth Duluth, MN 55812 (218) 726 6168 Fax: (218) 726 8240 Hudson Turner Associate Professor of Computer Science University of Minnesota,

More information

Shahin Shahrampour CONTACT INFORMATION

Shahin Shahrampour CONTACT INFORMATION Shahin Shahrampour CONTACT INFORMATION Department of Electrical Engineering Harvard University 33 Oxford Street, 321 Maxwell Dworkin Building Cambridge, MA 02138, USA E-mail: shahin@seas.harvard.edu Web:

More information

Joshua D. Spizman August 2017

Joshua D. Spizman August 2017 Joshua D. Spizman August, 2017 Loyola Marymount University Hilton Center for Business Office Phone: (310) 338-2902 1 LMU Drive, MS 8385 E-mail: joshua.spizman@lmu.edu Los Angeles, CA 90045-2659 http://www.joshuaspizman.com

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated Objectives History and road map of integrated circuits Application specific integrated circuits Design flow and tasks Electric design automation tools ASIC project MSDAP In 1951 William Shockley developed

More information

Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay

Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay Innovative Approach Architecture Designed For Realizing Fixed Point Least Mean Square Adaptive Filter with Less Adaptation Delay D.Durgaprasad Department of ECE, Swarnandhra College of Engineering & Technology,

More information

IN THE modern integrated circuit (IC) industry, threedimensional

IN THE modern integrated circuit (IC) industry, threedimensional 458 IEEE TRANSACTIONS ON RELIABILITY, VOL. 66, NO. 2, JUNE 2017 R 2 -TSV: A Repairable and Reliable TSV Set Structure Reutilizing Redundancies Jaeseok Park, Minho Cheong, and Sungho Kang, Senior Member,

More information

PORTABLE ECG MONITORING APPLICATION USING LOW POWER MIXED SIGNAL SOC ANURADHA JAKKEPALLI 1, K. SUDHAKAR 2

PORTABLE ECG MONITORING APPLICATION USING LOW POWER MIXED SIGNAL SOC ANURADHA JAKKEPALLI 1, K. SUDHAKAR 2 PORTABLE ECG MONITORING APPLICATION USING LOW POWER MIXED SIGNAL SOC ANURADHA JAKKEPALLI 1, K. SUDHAKAR 2 1 Anuradha Jakkepalli, M.Tech Student, Dept. Of ECE, RRS College of engineering and technology,

More information

Curriculum Vitae IMAN KHALAJI

Curriculum Vitae IMAN KHALAJI Curriculum Vitae IMAN KHALAJI Contact information Mailing address: Canadian Surgical Technologies and Advanced Robotics (CSTAR) 339 Windermere Road London, Ontario, Canada N6A 5A5 Tel.: (519) 661-2111

More information

MYUNGHWAN PARK Westchester Park Drive, APT 1510, College Park, Maryland MOBILE : (+1) ,

MYUNGHWAN PARK Westchester Park Drive, APT 1510, College Park, Maryland MOBILE : (+1) , RESEARCH INTERESTS MYUNGHWAN PARK 6200 Westchester Park Drive, APT 1510, College Park, Maryland 20740 MOBILE : (+1) 240-678-9863, EMAIL : mhpark@umd.edu My overall research interest is the physics of integrated

More information

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies Veena S. Chakravarthi and Swaroop Ghosh Abstract Test power has emerged as an important design concern in nano-scaled

More information

Jeffrey Davis Georgia Institute of Technology School of ECE Atlanta, GA Tel No

Jeffrey Davis Georgia Institute of Technology School of ECE Atlanta, GA Tel No Wave-Pipelined 2-Slot Time Division Multiplexed () Routing Ajay Joshi Georgia Institute of Technology School of ECE Atlanta, GA 3332-25 Tel No. -44-894-9362 joshi@ece.gatech.edu Jeffrey Davis Georgia Institute

More information