Dr. Weidong Kuang. The University of Texas Rio Grande Valley Department of Electrical Engineering (956)

Size: px
Start display at page:

Download "Dr. Weidong Kuang. The University of Texas Rio Grande Valley Department of Electrical Engineering (956)"

Transcription

1 Dr. Weidong Kuang The University of Texas Rio Grande Valley Department of Electrical Engineering (956) EDUCATION PhD, University of Central Florida, 2003 Major: Electrical Engineering MS, Nanjing University of Aeronautics & Astronautics, 1994 Major: Electrical Engineering BS, Nanjing University of Aeronautics & Astronautics, 1991 Major: Electronics and Communication Engineering EMPLOYMENT Associate professor, University of Texas at Rio Grande Valley (August 2015) Associate Professor, University of Texas Ð Pan American (September 1, August 1, 2015) Assistant Professor, University of Texas Ð Pan American (August August 2009) PUBLICATIONS Conference Proceedings Yu Bai, Bo Hu, Weidong Kuang, and Mingjie Lin. Ultra-Robust Null Convention Logic Circuit with Emerging Domain Wall Devices. Proceedings of the 26th edition on Great Lakes Symposium on VLSI. (2016): Yu Bai and Weidong Kuang. Design of Asynchronous Circuits on FPGAs for Soft Error Tolerance. Digital System Design (DSD), th Euromicro Conference on. (2011): Weidong Kuang and Yu Bai. Soft error in FPGA-implemented asynchronous circuits. Programmable Logic (SPL), 2011 VII Southern Conference on. (2011): Weidong Kuang, Enjun Xiao, Casto Ibarra, and Peiyi Zhao. Design asynchronous circuits for soft error tolerance IEEE International Conference on Integrated Circuit Design and Technology. (2007): 1 5. Weidong Kuang, Casto Ibarra, and Peiyi Zhao. Soft error hardening for asynchronous circuits. 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007). (2007): Generated on Nov 05, 2018 Page 1 of 5

2 Weidong Kuang, Enjun Xiao, CM Ibarra, and Peiyi Zhao IEEE International Conference on Integrated Circuit Design and Technology> 1-4. (June 2007) Weidong Kuang and Edward Banatoski. Testing for threshold logic circuits based on resonant tunneling diodes. Threshold. 2, (2006): 1. Weidong Kuang and JS Yuan. An adaptive supply-voltage scheme for low power self-timed CMOS digital design. VLSI Design, Proceedings. 16th International Conference on. (2003): Weidong Kuang, Jiann-Shiun Yuan, and Abdel Ejnioui. Supply voltage scalable system design using self-timed circuits. VLSI, Proceedings. IEEE Computer Society Annual Symposium on. (2003): Weidong Kuang and JS Yuan. Low power operation using self-timed circuits and ultra-low supply voltage. Microelectronics, The 14th International Conference on 2002-ICM. (2002): Weidong Kuang and JS Yuan. Soft digital signal processing using self-timed circuits. Semiconductor Electronics, Proceedings. ICSE IEEE International Conference on. (2002): Weidong Kuang, JS Yuan, RF DeMara, D Ferguson, and M Hagedorn. A delay-insensitive FIR Filter for DSP applications. Proc. Ninth An. NASA Symp. on VLSI Design, Albuquerque, NM, November. (2000): 8 9. Qiwu Tan and Weidong Kuang. Detecting the amplitude and phase of multi-channel for phased array. Xi'an: International Symposium on Antenna and Electromagnetics. (1997): Weidong Kuang, Jieping Zhang, Track techniques in a solid state phased array radar. Beijing: Proceedings of the 3rd Annual Symposium of Beijing Society of Astronautics. (1997): Weidong Kuang. Analysis of the effect of SAR autofocus error on imaging performance. Beijing: The Symposium on Radar Target Imaging. (1995): Journal Articles Weidong Kuang. Correction and Comment on An Adaptive Resolution Asynchronous ADC Architecture for Data Compression in Energy Constrained Sensing Applications. IEEE Transactions on Circuits and Systems I: Regular Papers. 4, no. 60 (2013): Peiyi Zhao, Jason McNeely, Weidong Kuang, Nan Wang, and Zhongfeng Wang. Design of sequential elements for low power clocking system. IEEE Transactions on very large scale integration (VLSI) systems. 19, no. 5 (2011): Weidong Kuang, Peiyi Zhao, Jiann Yuan, and Ronald DeMara. Design of asynchronous circuits for high soft error tolerance in deep submicrometer CMOS circuits. IEEE transactions on very large scale integration (VLSI) systems. 18, no. 3 (2010): Peiyi Zhao, Jason McNeely, Pradeep Golconda, Soujanya Venigalla, Nan Wang, Magdy Bayoumi, Weidong Kuang, and Luke Downey. Low-power clocked-pseudo-nmos flip-flop for level conversion in dual supply systems. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 17, no. 9 (2009): Weidong Kuang, Lizhi Cao, C Yu, and Jiann Yuan. PMOS breakdown effects on digital circuits Modeling and analysis. Microelectronics Reliability. 48, no. 8 (2008): Peiyi Zhao, Jason McNeely, Pradeep Golconda, Magdy Bayoumi, Robert Barcenas, and Weidong Kuang. Low-power clock branch sharing double-edge triggered flip-flop. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 15, no. 3 (2007): Generated on Nov 05, 2018 Page 2 of 5

3 Weidong Kuang and JS Yuan. Energy-efficient self-timed circuit design using supply voltage scaling. IEE Proceedings-Circuits, Devices and Systems. 151, no. 4 (2004): Jiann Yuan and Weidong Kuang. Teaching asynchronous design in digital integrated circuits. IEEE Transactions on Education. 47, no. 3 (2004): Weidong Kuang. Iterative ring and power-aware design techniques for self-timed digital circuits. University of Central Florida. (2003) Weidong Kuang, JS Yuan, RF DeMara, M Hagedorn, and K Fant. Performance analysis and optimisation of NCL self-timed rings. IEE PROCEEDINGS CIRCUITS DEVICES AND SYSTEMS. 150, no. 3 (2003): Weidong Kuang. An approach to direct digital sampling of IF bandpass signal and its hardware implementation. Journal of system engineering and electronics. 18, no. 11 (1996): PRESENTATIONS Weidong Kuang and Edward Banatoski. "Testing for Threshold Logic Circuits Based on Resonant Tunneling Diodes." the 6th IEEE Conference on Nanotechnology, Cincinnati Ohio. (July 2006) TEACHING Courses Taught Adv Digital System Desig Adv Digital System Design Digital Signal Processing Digital Signal Processing I Digital Systems Engineering II Digital Systems Engr I Digital Systems Engr I Lab Digital Systems Engr II Digital Systems I Digital Systems I Lab Digital Systems II Electric Circuits I Electrical & Electronic Sys Electronics for CMPE Electronics for CMPEà  Electronics for CMPEåÊ Electronics for CMPEæ Electronics for Cmp Engr Generated on Nov 05, 2018 Page 3 of 5

4 Independent Study Intro to Elect Engr Master's Thesis I Master's Thesis II Microprocessor Systems Prob & Stat Electrical Enginee Senior Design I Senior Design II Sr Design Hardware Trk I Sr Design Hrdware Trk II Topics-Electrical Engr Directed Student Learning Master's Thesis Committee Member. Edni Rosal,, Department of Electrical Engineering. (November 2016) Master's Thesis Committee Member. David Leal, "computer network", Department of Electrical Engineering. (October 2016) SERVICE Department Service Committee Member, curriculum committee. (May 2018) Committee Member, graduate committee. (September August 2018) Committee Member, faculty search committee. (January May 2018) Committee Member, annual evaluation committee. (September May 2018) Committee Member, graduate committee. (September August 2017) Committee Member, faculty annual evaluation committee. (September 1, May 31, 2017) College Service Development Activities Attended Workshop, "Online faculty round table," center for online learning and teaching techology. (October 6, 2017) Workshop, "UTRGV CBI conference," UTRGV. (April 30, 2016) Faculty Development Leave, Rice University. (January 10, April 20, 2015) Summer Faculty Position, Oak Ridge National Lab. (June 10, August 15, 2013) Generated on Nov 05, 2018 Page 4 of 5

5 Professional Service Generated on Nov 05, 2018 Page 5 of 5

Dr. Jae Sok Son. The University of Texas Rio Grande Valley Department of Electrical Engineering (956)

Dr. Jae Sok Son. The University of Texas Rio Grande Valley Department of Electrical Engineering (956) Dr. Jae Sok Son The University of Texas Rio Grande Valley Department of Electrical Engineering (956) 665-2186 Email: jae.son@utrgv.edu EDUCATION PhD, The University of Texas - El Paso, 1999 Major: Computer

More information

Dr. Wenjie Dong. The University of Texas Rio Grande Valley Department of Electrical Engineering (956)

Dr. Wenjie Dong. The University of Texas Rio Grande Valley Department of Electrical Engineering (956) Dr. Wenjie Dong The University of Texas Rio Grande Valley Department of Electrical Engineering (956) 665-2200 Email: wenjie.dong@utrgv.edu EDUCATION PhD, University of California, Riverside, 2009 Major:

More information

Glitch Power Reduction for Low Power IC Design

Glitch Power Reduction for Low Power IC Design This document is an author-formatted work. The definitive version for citation appears as: N. Weng, J. S. Yuan, R. F. DeMara, D. Ferguson, and M. Hagedorn, Glitch Power Reduction for Low Power IC Design,

More information

Power Optimized Energy Efficient Hybrid Circuits Design by Using A Novel Adiabatic Techniques N.L.S.P.Sai Ram*, K.Rajasekhar**

Power Optimized Energy Efficient Hybrid Circuits Design by Using A Novel Adiabatic Techniques N.L.S.P.Sai Ram*, K.Rajasekhar** Power Optimized Energy Efficient Hybrid Circuits Design by Using A Novel Adiabatic Techniques N.L.S.P.Sai Ram*, K.Rajasekhar** *(Department of Electronics and Communication Engineering, ASR College of

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS

COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS ( 1 Dr.V.Malleswara rao, 2 K.V.Ganesh, 3 P.Pavan Kumar) 1 Professor &HOD of ECE,GITAM University,Visakhapatnam. 2 Ph.D

More information

ISSN: [Pandey * et al., 6(9): September, 2017] Impact Factor: 4.116

ISSN: [Pandey * et al., 6(9): September, 2017] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY A VLSI IMPLEMENTATION FOR HIGH SPEED AND HIGH SENSITIVE FINGERPRINT SENSOR USING CHARGE ACQUISITION PRINCIPLE Kumudlata Bhaskar

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

32-Bit CMOS Comparator Using a Zero Detector

32-Bit CMOS Comparator Using a Zero Detector 32-Bit CMOS Comparator Using a Zero Detector M Premkumar¹, P Madhukumar 2 ¹M.Tech (VLSI) Student, Sree Vidyanikethan Engineering College (Autonomous), Tirupati, India 2 Sr.Assistant Professor, Department

More information

Education on CMOS RF Circuit Reliability

Education on CMOS RF Circuit Reliability Education on CMOS RF Circuit Reliability Jiann S. Yuan 1 Abstract This paper presents a design methodology to study RF circuit performance degradations due to hot carrier and soft breakdown. The experimental

More information

SIMULATION OF EDGE TRIGGERED D FLIP FLOP USING SINGLE ELECTRON TRANSISTOR(SET)

SIMULATION OF EDGE TRIGGERED D FLIP FLOP USING SINGLE ELECTRON TRANSISTOR(SET) SIMULATION OF EDGE TRIGGERED D FLIP FLOP USING SINGLE ELECTRON TRANSISTOR(SET) Prashanth K V, Monish A G, Pavanjoshi, Madhan Kumar, KavyaS(Assistant professor) Department of Electronics and Communication

More information

POWER EFFICIENT DESIGN OF COUNTER ON.12 MICRON TECHNOLOGY

POWER EFFICIENT DESIGN OF COUNTER ON.12 MICRON TECHNOLOGY Volume-, Issue-, March 2 POWER EFFICIENT DESIGN OF COUNTER ON.2 MICRON TECHNOLOGY Simmy Hirkaney, Sandip Nemade, Vikash Gupta Abstract As chip manufacturing technology is suddenly on the threshold of major

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

Research Article Design of a Novel Optimized MAC Unit using Modified Fault Tolerant Vedic Multiplier

Research Article Design of a Novel Optimized MAC Unit using Modified Fault Tolerant Vedic Multiplier Research Journal of Applied Sciences, Engineering and Technology 8(7): 900-906, 2014 DOI:10.19026/rjaset.8.1051 ISSN: 2040-7459; e-issn: 2040-7467 2014 Maxwell Scientific Publication Corp. Submitted: June

More information

Guest Editorial of Special Issue on Software Defined Radio Transceivers and Circuits for 5G Wireless Communications

Guest Editorial of Special Issue on Software Defined Radio Transceivers and Circuits for 5G Wireless Communications IEEE Transactions on Circuits and Systems-II Guest Editorial of Special Issue on Software Defined Radio Transceivers and Circuits for 5G Wireless Communications W ireless communications is one of the fastest

More information

Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here

Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, 27-30 May 2007. This material is posted here with permission of the IEEE. Such permission of the IEEE

More information

MACGDI: Low Power MAC Based Filter Bank Using GDI Logic for Hearing Aid Applications

MACGDI: Low Power MAC Based Filter Bank Using GDI Logic for Hearing Aid Applications International Journal of Electronics and Electrical Engineering Vol. 5, No. 3, June 2017 MACGDI: Low MAC Based Filter Bank Using GDI Logic for Hearing Aid Applications N. Subbulakshmi Sri Ramakrishna Engineering

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

A Novel Architecture for Low Power Equiripple Half-Band FIR Filter using GDI Based Dual Edge Triggered Flip-Flop

A Novel Architecture for Low Power Equiripple Half-Band FIR Filter using GDI Based Dual Edge Triggered Flip-Flop A Novel Architecture for Low Power Equiripple Half-Band FIR Filter using GDI Based Dual Edge Triggered Flip-Flop * 1 Biswarup Mukherjee, 2 Aniruddha Ghosal 1 Department of Electronics & Communication Engineering,

More information

AREA EFFICIENT LOW ERROR COMPENSATION MULTIPLIER DESIGN USING FIXED WIDTH RPR

AREA EFFICIENT LOW ERROR COMPENSATION MULTIPLIER DESIGN USING FIXED WIDTH RPR AREA EFFICIENT LOW ERROR COMPENSATION MULTIPLIER DESIGN USING FIXED WIDTH RPR N.MEGALA 1,N.RAJESWARAN 2 1 PG scholar,department of ECE, SNS College OF Technology, Tamil nadu, India. 2 Associate professor,

More information

Computer Logical Design Laboratory

Computer Logical Design Laboratory Division of Computer Engineering Computer Logical Design Laboratory Tsuneo Tsukahara Professor Tsuneo Tsukahara: Yukihide Kohira Senior Associate Professor Yu Nakajima Research Assistant Software-Defined

More information

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits Research Journal of Applied Sciences, Engineering and Technology 5(10): 2991-2996, 2013 ISSN: 2040-7459; e-issn: 2040-7467 Maxwell Scientific Organization, 2013 Submitted: September 16, 2012 Accepted:

More information

A Novel Low Power, High Speed 14 Transistor CMOS Full Adder Cell with 50% Improvement in Threshold Loss Problem

A Novel Low Power, High Speed 14 Transistor CMOS Full Adder Cell with 50% Improvement in Threshold Loss Problem A Novel Low Power, High Speed 4 Transistor CMOS Full Adder Cell with 5% Improvement in Threshold Loss Problem T. Vigneswaran, B. Mukundhan, and P. Subbarami Reddy Abstract Full adders are important components

More information

Design a Low Power CNTFET-Based Full Adder Using Majority Not Function

Design a Low Power CNTFET-Based Full Adder Using Majority Not Function Design a Low Power CNTFET-Based Full Adder Using Majority Not Function Seyedehsomayeh Hatefinasab * Department of Electrical and Computer Engineering, Payame Noor University, Sari, Iran. *Corresponding

More information

A TDC based BIST Scheme for Operational Amplifier Jun Yuan a and Wei Wang b

A TDC based BIST Scheme for Operational Amplifier Jun Yuan a and Wei Wang b Applied Mechanics and Materials Submitted: 2014-07-19 ISSN: 1662-7482, Vols. 644-650, pp 3583-3587 Accepted: 2014-07-20 doi:10.4028/www.scientific.net/amm.644-650.3583 Online: 2014-09-22 2014 Trans Tech

More information

Design and Analysis of CMOS based Low Power Carry Select Full Adder

Design and Analysis of CMOS based Low Power Carry Select Full Adder Design and Analysis of CMOS based Low Power Carry Select Full Adder Mayank Sharma 1, Himanshu Prakash Rajput 2 1 Department of Electronics & Communication Engineering Hindustan College of Science & Technology,

More information

AS very large-scale integration (VLSI) circuits continue to

AS very large-scale integration (VLSI) circuits continue to IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 49, NO. 11, NOVEMBER 2002 2001 A Power-Optimal Repeater Insertion Methodology for Global Interconnects in Nanometer Designs Kaustav Banerjee, Member, IEEE, Amit

More information

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 15.7 A 4µA-Quiescent-Current Dual-Mode Buck Converter IC for Cellular Phone Applications Jinwen Xiao, Angel Peterchev, Jianhui Zhang, Seth Sanders

More information

HIGH PERFORMANCE BAUGH WOOLEY MULTIPLIER USING CARRY SKIP ADDER STRUCTURE

HIGH PERFORMANCE BAUGH WOOLEY MULTIPLIER USING CARRY SKIP ADDER STRUCTURE HIGH PERFORMANCE BAUGH WOOLEY MULTIPLIER USING CARRY SKIP ADDER STRUCTURE R.ARUN SEKAR 1 B.GOPINATH 2 1Department Of Electronics And Communication Engineering, Assistant Professor, SNS College Of Technology,

More information

Academic Course Description

Academic Course Description BEC010- VLSI Design Academic Course Description BHARATH UNIVERSITY Faculty of Engineering and Technology Department of Electronics and Communication Engineering BEC010 VLSI Design Sixth Semester (Elective)

More information

DOUBLE DATA RATE (DDR) technology is one solution

DOUBLE DATA RATE (DDR) technology is one solution 54 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 2, NO. 6, JUNE 203 All-Digital Fast-Locking Pulsewidth-Control Circuit With Programmable Duty Cycle Jun-Ren Su, Te-Wen Liao, Student

More information

A Circuit for Concurrent Detection of Soft and Timing Errors in Digital CMOS ICs

A Circuit for Concurrent Detection of Soft and Timing Errors in Digital CMOS ICs JOURNAL OF ELECTRONIC TESTING: Theory and Applications 20, 523 531, 2004 c 2004 Kluwer Academic Publishers. Manufactured in The United States. A Circuit for Concurrent Detection of Soft and Timing Errors

More information

COMPARATIVE ANALYSIS OF PULSE TRIGGERED FLIP FLOP DESIGN FOR LOW POWER CONSUMPTION

COMPARATIVE ANALYSIS OF PULSE TRIGGERED FLIP FLOP DESIGN FOR LOW POWER CONSUMPTION DOI: 10.21917/ijme.2018.0102 COMPARATIVE ANALYSIS OF PULSE TRIGGERED FLIP FLOP DESIGN FOR LOW POWER CONSUMPTION S. Bhuvaneshwari and E. Kamalavathi Department of Electronics and Communication Engineering,

More information

The Metrics and Designs of an Arithmetic Logic Function over

The Metrics and Designs of an Arithmetic Logic Function over The Metrics and Designs of an Arithmetic Logic Function over 2002-2015 Jimmy Vallejo Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362 Abstract There

More information

Unit level 4 Credit value 15. Introduction. Learning Outcomes

Unit level 4 Credit value 15. Introduction. Learning Outcomes Unit 20: Unit code Digital Principles T/615/1494 Unit level 4 Credit value 15 Introduction While the broad field of electronics covers many aspects, it is digital electronics which now has the greatest

More information

Clock-free nanowire crossbar architecture based on null convention logic (NCL)

Clock-free nanowire crossbar architecture based on null convention logic (NCL) Missouri University of Science and Technology Scholars' Mine Faculty Research & Creative Works 2007 Clock-free nanowire crossbar architecture based on null convention logic (NC) Ravi Bonam Shikha Chaudhary

More information

Cmos Full Adder and Multiplexer Based Encoder for Low Resolution Flash Adc

Cmos Full Adder and Multiplexer Based Encoder for Low Resolution Flash Adc IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 2, Ver. II (Mar.-Apr. 2017), PP 20-27 www.iosrjournals.org Cmos Full Adder and

More information

High Speed and Low Power ASIC Using Threshold Logic

High Speed and Low Power ASIC Using Threshold Logic High Speed and Low Power ASIC Using Threshold Logic Navaneetha Velammal M 1, Sharanabasaveshwar G. Hiremath 2, R.Prem Ananth 3, Rama S 4 Assistant Professor, Francis Xavier Engineering College, Tirunelveli

More information

PG Scholar, Electronics (VLSI Design), PEC University of Technology, Chandigarh, India

PG Scholar, Electronics (VLSI Design), PEC University of Technology, Chandigarh, India A Low Power 4 Bit Successive Approximation Analog-To-Digital Converter Using 180nm Technology Jasbir Kaur 1, Praveen Kumar 2 1 Assistant Professor, ECE Department, PEC University of Technology, Chandigarh,

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

the cascading of two stages in CMOS domino logic[7,8]. The operating period of a cell when its input clock and output are low is called the precharge

the cascading of two stages in CMOS domino logic[7,8]. The operating period of a cell when its input clock and output are low is called the precharge 1.5v,.18u Area Efficient 32 Bit Adder using 4T XOR and Modified Manchester Carry Chain Ajith Ravindran FACTS ELCi Electronics and Communication Engineering Saintgits College of Engineering, Kottayam Kerala,

More information

[Singh*, 5(3): March, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785

[Singh*, 5(3): March, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY COMPARISON OF GDI BASED D FLIP FLOP CIRCUITS USING 90NM AND 180NM TECHNOLOGY Gurwinder Singh*, Ramanjeet Singh ECE Department,

More information

Reducing Mutual Coupling in Microstrip Array Antenna Using Metamaterial Spiral Resonator

Reducing Mutual Coupling in Microstrip Array Antenna Using Metamaterial Spiral Resonator www.ijcsi.org 51 Reducing Mutual Coupling in Microstrip Array Antenna Using Metamaterial Spiral Resonator Hamideh Kondori 1, Mohammad Ali Mansouri-Birjandi 2, Saeed Tavakoli 3 1,2,3 Faculty of Electrical

More information

Modelling and Simulation of a SAR ADC with Internally Generated Conversion Signal

Modelling and Simulation of a SAR ADC with Internally Generated Conversion Signal IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 1, Ver. I (Jan - Feb. 2015), PP 36-41 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Modelling and Simulation of a

More information

ZHAOXIAN ZHOU. Curriculum Vitae. Updated: May 28, 2007

ZHAOXIAN ZHOU. Curriculum Vitae. Updated: May 28, 2007 ZHAOXIAN ZHOU Curriculum Vitae Updated: May 28, 2007 1 Experience Assistant Professor, School of Computing, University of Southern Mississippi 2005-2007 Postdoctoral Fellow, Dept. Electrical and Computer

More information

Rutgers University Assistant Teaching Professor, ECE Department, Sep Dec 2016

Rutgers University Assistant Teaching Professor, ECE Department, Sep Dec 2016 Naghmeh Karimi Assistant Professor Department of Computer Science and Electrical Engineering University of Maryland, Baltimore County (UMBC) Address: 1000 Hilltop Circle, ITE 314 Baltimore, Maryland 21250

More information

Adiabatic Logic Circuits for Low Power, High Speed Applications

Adiabatic Logic Circuits for Low Power, High Speed Applications IJSTE - International Journal of Science Technology & Engineering Volume 3 Issue 10 April 2017 ISSN (online): 2349-784X Adiabatic Logic Circuits for Low Power, High Speed Applications Satyendra Kumar Ram

More information

ISSN (PRINT): , (ONLINE): , VOLUME-3, ISSUE-8,

ISSN (PRINT): , (ONLINE): , VOLUME-3, ISSUE-8, DESIGN OF SEQUENTIAL CIRCUITS USING MULTI-VALUED LOGIC BASED ON QDGFET Chetan T. Bulbule 1, S. S. Narkhede 2 Department of E&TC PICT Pune India chetanbulbule7@gmail.com 1, ssn_pict@yahoo.com 2 Abstract

More information

Design of Asynchronous Circuits for High Soft Error Tolerance in Deep Submicron CMOS Circuits

Design of Asynchronous Circuits for High Soft Error Tolerance in Deep Submicron CMOS Circuits Design of synchronous Circuits for High Soft Error Tolerance in Deep Submicron CMOS Circuits Weidong Kuang, Member IEEE, Peiyi Zhao, Member IEEE, J.S. Yuan, Senior Member, IEEE, and R. F. DeMara, Senior

More information

Southern Methodist University Dallas, TX, Southern Methodist University Dallas, TX, 75275

Southern Methodist University Dallas, TX, Southern Methodist University Dallas, TX, 75275 Single Event Effects in a 0.25 µm Silicon-On-Sapphire CMOS Technology Wickham Chen 1, Tiankuan Liu 2, Ping Gui 1, Annie C. Xiang 2, Cheng-AnYang 2, Junheng Zhang 1, Peiqing Zhu 1, Jingbo Ye 2, and Ryszard

More information

Designing of Charge Pump for Fast-Locking and Low-Power PLL

Designing of Charge Pump for Fast-Locking and Low-Power PLL Designing of Charge Pump for Fast-Locking and Low-Power PLL Swati Kasht, Sanjay Jaiswal, Dheeraj Jain, Kumkum Verma, Arushi Somani Abstract The specific property of fast locking of PLL is required in many

More information

Full-Custom Design Fractional Step-Down Charge Pump DC-DC Converter with Digital Control Implemented in 90nm CMOS Technology

Full-Custom Design Fractional Step-Down Charge Pump DC-DC Converter with Digital Control Implemented in 90nm CMOS Technology Full-Custom Design Fractional Step-Down Charge Pump DC-DC Converter with Digital Control Implemented in 90nm CMOS Technology Jhon Ray M. Esic, Van Louven A. Buot, and Jefferson A. Hora Microelectronics

More information

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT PRADEEP G CHAGASHETTI Mr. H.V. RAVISH ARADHYA Department of E&C Department of E&C R.V.COLLEGE of ENGINEERING R.V.COLLEGE of ENGINEERING Bangalore

More information

ISSN:

ISSN: 1061 Area Leakage Power and delay Optimization BY Switched High V TH Logic UDAY PANWAR 1, KAVITA KHARE 2 12 Department of Electronics and Communication Engineering, MANIT, Bhopal 1 panwaruday1@gmail.com,

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

Ultra Low Power Consumption Military Communication Systems

Ultra Low Power Consumption Military Communication Systems Ultra Low Power Consumption Military Communication Systems Sagara Pandu Assistant Professor, Department of ECE, Gayatri College of Engineering Visakhapatnam-530048. ABSTRACT New military communications

More information

A Novel Latch design for Low Power Applications

A Novel Latch design for Low Power Applications A Novel Latch design for Low Power Applications Abhilasha Deptt. of Electronics and Communication Engg., FET-MITS Lakshmangarh, Rajasthan (India) K. G. Sharma Suresh Gyan Vihar University, Jagatpura, Jaipur,

More information

A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE

A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE Mei-Wei Chen 1, Ming-Hung Chang 1, Pei-Chen Wu 1, Yi-Ping Kuo 1, Chun-Lin Yang 1, Yuan-Hua Chu 2, and Wei Hwang

More information

Academic Course Description

Academic Course Description BEC010- VLSI Design Academic Course Description BHARATH UNIVERSITY Faculty of Engineering and Technology Department of Electronics and Communication Engineering BEC010 VLSI Design Fifth Semester (Elective)

More information

Design of Signed Multiplier Using T-Flip Flop

Design of Signed Multiplier Using T-Flip Flop African Journal of Basic & Applied Sciences 9 (5): 279-285, 2017 ISSN 2079-2034 IDOSI Publications, 2017 DOI: 10.5829/idosi.ajbas.2017.279.285 Design of Signed Multiplier Using T-Flip Flop 1 2 S.V. Venu

More information

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Dr. Saravanan Savadipalayam Venkatachalam Principal and Professor, Department of Mechanical

More information

Heterogeneous Concurrent Error Detection (hced) Based on Output Anticipation

Heterogeneous Concurrent Error Detection (hced) Based on Output Anticipation International Conference on ReConFigurable Computing and FPGAs (ReConFig 2011) 30 th Nov- 2 nd Dec 2011, Cancun, Mexico Heterogeneous Concurrent Error Detection (hced) Based on Output Anticipation Naveed

More information

Design of Adaptive Triggered Flip Flop Design based on a Signal Feed-Through Scheme

Design of Adaptive Triggered Flip Flop Design based on a Signal Feed-Through Scheme Design of Adaptive Triggered Flip Flop Design based on a Signal Feed-Through Scheme *K.Lavanya & **T.Shirisha *M.TECH, Dept. ofece, SAHASRA COLLEGE OF ENGINEERING FOR WOMEN Warangal **Asst.Prof Dept. of

More information

Optimization of power in different circuits using MTCMOS Technique

Optimization of power in different circuits using MTCMOS Technique Optimization of power in different circuits using MTCMOS Technique 1 G.Raghu Nandan Reddy, 2 T.V. Ananthalakshmi Department of ECE, SRM University Chennai. 1 Raghunandhan424@gmail.com, 2 ananthalakshmi.tv@ktr.srmuniv.ac.in

More information

Design And Implementation of FM0/Manchester coding for DSRC. Applications

Design And Implementation of FM0/Manchester coding for DSRC. Applications Design And Implementation of / coding for DSRC Applications Supriya Shivaji Garade, Prof.P.R.Badadapure Department of Electronics and Telecommunication JSPM s Imperial College of Engineering and Research

More information

Design and Analysis of Improved Sparse Channel Adder with Optimization of Energy Delay

Design and Analysis of Improved Sparse Channel Adder with Optimization of Energy Delay ISSN:1991-8178 Australian Journal of Basic and Applied Sciences Journal home page: www.ajbasweb.com Design and Analysis of Improved Sparse Channel Adder with Optimization of Energy Delay 1 Prajoona Valsalan

More information

6-Bit Charge Scaling DAC and SAR ADC

6-Bit Charge Scaling DAC and SAR ADC 6-Bit Charge Scaling DAC and SAR ADC Meghana Kulkarni 1, Muttappa Shingadi 2, G.H. Kulkarni 3 Associate Professor, Department of PG Studies, VLSI Design and Embedded Systems, VTU, Belgavi, India 1. M.Tech.

More information

A 2-V 10.7-MHz CMOS Limiting Amplifier/RSSI

A 2-V 10.7-MHz CMOS Limiting Amplifier/RSSI 1474 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 35, NO. 10, OCTOBER 2000 A 2-V 10.7-MHz CMOS Limiting Amplifier/RSSI Po-Chiun Huang, Yi-Huei Chen, and Chorng-Kuang Wang, Member, IEEE Abstract This paper

More information

Merging Propagation Physics, Theory and Hardware in Wireless. Ada Poon

Merging Propagation Physics, Theory and Hardware in Wireless. Ada Poon HKUST January 3, 2007 Merging Propagation Physics, Theory and Hardware in Wireless Ada Poon University of Illinois at Urbana-Champaign Outline Multiple-antenna (MIMO) channels Human body wireless channels

More information

Low Power Dissipation SEU-hardened CMOS Latch

Low Power Dissipation SEU-hardened CMOS Latch PIERS ONLINE, VOL. 3, NO. 7, 2007 1080 Low Power Dissipation SEU-hardened CMOS Latch Yuhong Li, Suge Yue, Yuanfu Zhao, and Guozhen Liang Beijing Microelectronics Technology Institute, 100076, China Abstract

More information

DesignCon Design of a Low-Power Differential Repeater Using Low Voltage and Charge Recycling. Brock J. LaMeres, University of Colorado

DesignCon Design of a Low-Power Differential Repeater Using Low Voltage and Charge Recycling. Brock J. LaMeres, University of Colorado DesignCon 2005 Design of a Low-Power Differential Repeater Using Low Voltage and Charge Recycling Brock J. LaMeres, University of Colorado Sunil P. Khatri, Texas A&M University Abstract Advances in System-on-Chip

More information

Design and Implementation of an Ultra-Low Power High Speed CMOS Logic using Cadence

Design and Implementation of an Ultra-Low Power High Speed CMOS Logic using Cadence Design and Implementation of an Ultra-Low Power High Speed CMOS Logic using Cadence L.Vasanth 1, D. Yokeshwari 2 1 Assistant Professor, 2 PG Scholar, Department of ECE Tejaa Shakthi Institute of Technology

More information

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-30nm CMOS Technologies Bhaskar Chatterjee, Manoj Sachdev Ram Krishnamurthy * Department of Electrical and Computer

More information

A Novel Architecture for Quantum-Dot Cellular Automata Multiplexer

A Novel Architecture for Quantum-Dot Cellular Automata Multiplexer www.ijcsi.org 55 A Novel Architecture for Quantum-Dot Cellular Automata Multiplexer Arman Roohi 1, Hossein Khademolhosseini 2, Samira Sayedsalehi 3, Keivan Navi 4 1,2,3 Department of Computer Engineering,

More information

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.6, DECEMBER, 2016 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2016.16.6.842 ISSN(Online) 2233-4866 A 82.5% Power Efficiency at 1.2 mw

More information

Assistant Professor, Electrical Engineering and Computer Science

Assistant Professor, Electrical Engineering and Computer Science Steven S. Holland Assistant Professor, Electrical Engineering and Computer Science Degree with Fields, Institution, and Date Doctor of Philosophy, Electrical and Computer Engineering, University of MA:

More information

Design of CMOS Based PLC Receiver

Design of CMOS Based PLC Receiver Available online at: http://www.ijmtst.com/vol3issue10.html International Journal for Modern Trends in Science and Technology ISSN: 2455-3778 :: Volume: 03, Issue No: 10, October 2017 Design of CMOS Based

More information

THE analog domain is an attractive alternative for nonlinear

THE analog domain is an attractive alternative for nonlinear 1132 IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS, VOL. 46, NO. 6, DECEMBER 1999 Neuro-Fuzzy Architecture for CMOS Implementation Bogdan M. Wilamowski, Senior Member, IEEE Richard C. Jaeger, Fellow, IEEE,

More information

IN recent years, the development of high power isolated bidirectional

IN recent years, the development of high power isolated bidirectional IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 23, NO. 2, MARCH 2008 813 A ZVS Bidirectional DC DC Converter With Phase-Shift Plus PWM Control Scheme Huafeng Xiao and Shaojun Xie, Member, IEEE Abstract The

More information

Design and Analysis of Low-Power 11- Transistor Full Adder

Design and Analysis of Low-Power 11- Transistor Full Adder Design and Analysis of Low-Power 11- Transistor Full Adder Ravi Tiwari, Khemraj Deshmukh PG Student [VLSI, Dept. of ECE, Shri Shankaracharya Technical Campus(FET), Bhilai, Chattisgarh, India 1 Assistant

More information

DSP COMMUNICATIONS EXPERIMENT

DSP COMMUNICATIONS EXPERIMENT Introduction DSP COMMUNICATIONS EXPERIMENT Gale Allen, Ph.D. Electrical and Computer Engineering and Technology Department (ECET) Minnesota State University, Mankato The laboratory experiments used in

More information

Electrical and Computer En - ELEC

Electrical and Computer En - ELEC Electrical and Computer En - ELEC 1 Electrical and Computer En - ELEC Courses ELEC 2110 ELECTRIC CIRCUIT ANALYSIS (4) LEC. 3. LAB. 3. Pr. (PHYS 1610 or PHYS 1617) and (COMP 1200 or COMP 1210 or COMP 1217)

More information

Design of an Asynchronous 1 Bit Charge Sharing Digital to Analog Converter for a Level Crossing ADC

Design of an Asynchronous 1 Bit Charge Sharing Digital to Analog Converter for a Level Crossing ADC Design of an Asynchronous 1 Bit Charge Sharing Digital to Analog Converter for a Level Crossing ADC Anita Antony 1, Shobha Rekh Paulson 2, D. Jackuline Moni 3 1, 2, 3 School of Electrical Sciences, Karunya

More information

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique International Journal of Electrical Engineering. ISSN 0974-2158 Volume 10, Number 3 (2017), pp. 323-335 International Research Publication House http://www.irphouse.com Minimizing the Sub Threshold Leakage

More information

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K.

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. Sasikala 2 1 Professor, Department of Electronics and Communication

More information

An Efficient Method for Implementation of Convolution

An Efficient Method for Implementation of Convolution IAAST ONLINE ISSN 2277-1565 PRINT ISSN 0976-4828 CODEN: IAASCA International Archive of Applied Sciences and Technology IAAST; Vol 4 [2] June 2013: 62-69 2013 Society of Education, India [ISO9001: 2008

More information

Subminiature Multi-stage Band-Pass Filter Based on LTCC Technology Research

Subminiature Multi-stage Band-Pass Filter Based on LTCC Technology Research International Journal of Information and Electronics Engineering, Vol. 6, No. 2, March 2016 Subminiature Multi-stage Band-Pass Filter Based on LTCC Technology Research Bowen Li and Yongsheng Dai Abstract

More information

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells Reza Faghih Mirzaee, Mohammad Hossein Moaiyeri, Keivan Navi Abstract In this paper we present two novel 1-bit full adder cells in dynamic logic

More information

TRANSPOSED FORM OF FOLDED FIR FILTER

TRANSPOSED FORM OF FOLDED FIR FILTER TRANSPOSED FORM OF FOLDED FIR FILTER K. Subramanian 1, Dr. R. Prema 2, S. Muthukrishnan 3 1-3 Dept. of Electronics and Communication Systems, Karpagam Academy of Higher Education, Coimbatore, Tamilnadu,

More information

Design Of Low Power Cmos High Performance True Single Phase Clock Dual Modulus Prescaler

Design Of Low Power Cmos High Performance True Single Phase Clock Dual Modulus Prescaler RESEARCH ARTICLE OPEN ACCESS Design Of Low Power Cmos High Performance True Single Phase Clock Dual Modulus Prescaler Ramesh.K 1, E.Velmurugan 2, G.Sadiq Basha 3 1 Department of Electronics and Communication

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY

RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY A thesis work submitted to the faculty of San Francisco State University In partial fulfillment of The Requirements

More information

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors Design for MOSIS Educational Program (Research) Transmission-Line-Based, Shared-Media On-Chip Interconnects for Multi-Core Processors Prepared by: Professor Hui Wu, Jianyun Hu, Berkehan Ciftcioglu, Jie

More information

Electrical and Computer Engineering

Electrical and Computer Engineering Electrical and Computer Engineering 1 Electrical and Computer Engineering The Electrical and Computer Engineering curricula produce well-educated graduates prepared to practice engineering at a professional

More information

2-BIT COMPARATOR WITH 8-TRANSISTOR 1-BIT FULL ADDER WITH CAPACITOR

2-BIT COMPARATOR WITH 8-TRANSISTOR 1-BIT FULL ADDER WITH CAPACITOR 2-BIT COMPARATOR WITH 8-TRANSISTOR 1-BIT FULL ADDER WITH CAPACITOR C.CHANDAN KUMAR M.Tech-VLSI, Department of ECE, Sree vidyanikethan Engineering college A.Rangampet, Tirupati, India chennachandu123@gmail.com

More information

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. II (Nov -Dec. 2015), PP 06-15 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org High-Performance of Domino Logic

More information

Design & Implementation of an Adaptive Delta Sigma Modulator

Design & Implementation of an Adaptive Delta Sigma Modulator Design & Implementation of an Adaptive Delta Sigma Modulator Shahrukh Athar MS CmpE 7 27-6-8 Project Supervisor: Dr Shahid Masud Presentation Outline Introduction Adaptive Modulator Design Simulation Implementation

More information

Available online at ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013

Available online at  ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013 Available online at www.sciencedirect.com ScienceDirect Procedia Engineering 64 ( 2013 ) 377 384 International Conference On DESIGN AND MANUFACTURING, IConDM 2013 A Novel Phase Frequency Detector for a

More information

NanoFabrics: : Spatial Computing Using Molecular Electronics

NanoFabrics: : Spatial Computing Using Molecular Electronics NanoFabrics: : Spatial Computing Using Molecular Electronics Seth Copen Goldstein and Mihai Budiu Computer Architecture, 2001. Proceedings. 28th Annual International Symposium on 30 June-4 4 July 2001

More information