MDSI: Signal Integrity Interconnect Fault Modeling and Testing for SoCs

Size: px
Start display at page:

Download "MDSI: Signal Integrity Interconnect Fault Modeling and Testing for SoCs"

Transcription

1 JOURNAL OF ELECTRONIC TESTING: Theory and Applications 23, , 2007 * 2007 Springer Science + Business Media, LLC Manufactured in The United States. DOI: /s MDSI: Signal Integrity Interconnect Fault Modeling and Testing for SoCs SUNGHOON CHUN, YONGJOON KIM AND SUNGHO KANG Department of Electrical and Electronic Engineering, Yonsei University, 134, Shinchon-Dong Seodaemoon-Gu, Seoul, South Korea shchun@yonsei.ac.kr yongjoonkim@yonsei.ac.kr shkang@yonsei.ac.kr Received October 31, 2005; Revised August 21, 2006 Editor: K. K. Saluja Abstract. Unacceptable loss of signal integrity may cause permanent or intermittent harm to the functionality and performance of SoCs. In this paper, we present an abstract model and a new test pattern generation method of signal integrity problems on interconnects. This approach is achieved by considering the effects for testing inputs and parasitic RLC elements of interconnects. We also develop a framework to deal with arbitrary interconnection topology. Experimental results show that the proposed signal integrity fault model is more exact and more powerful for long interconnects than previous approaches. Keywords: signal integrity, interconnect test, RLC interconnect model, fault modeling 1. Introduction Signal integrity issues arise from long on-chip interconnects where the effect of parasitic elements may jeopardize the functionality and reliability of high performance SoCs. Long on-chip interconnects fall primarily into three categories: data-buses, control, or clock. Data lines generally have halfa-chip-edge in length, and have a small load at the receiver. Such lines synchronously operate and are designed for minimum path delay over fairly long path length. Therefore, these data-buses are vulnerable to signal integrity problems due to their synchronous and possibly in-phase data patterns. Therefore, in this paper, we focus on testing signal integrity faults on long interconnects like data-buses. To enable testing for signal integrity defects, several fault models and test generation methods have been proposed to capture the signal integrity effects in gatelevel circuits [2, 7, 9]. In addition, many approaches for analysis, modeling and testing signal integrity effects on long interconnect lines have been proposed [4, 8, 10, 11]. Maximum Aggressor (MA) fault model was presented in [4], which abstractly models crosstalk effects on interconnects with a linear number of faults. However, since the MA fault model was based on RC interconnect model, test patterns for it could not achieve high fault coverage for long interconnects [3]. In [8], the authors presented a BIST for signal integrity using pseudo-random patterns. Signal integrity fault model was presented in [1]. This was based on accurate RLC interconnect models; however, this method requires too much large computation power for solving numerical equations. The other signal integrity fault model based on RLC interconnect model, the MT fault model, was proposed in [11]. However, since it actually considered the effects of coupling capacitances, it could not guarantee the high fault coverage. In addition, the MT fault model requires too many test patterns. Therefore, in our approach, we use the accurately distributed RLC interconnect models and present a new accurate and simpler fault model for signal integrity in a system-on-chip. 2. Signal Integrity Effects The short local wirings with the highest density are shown to be affected by the large capacitance and capacitive coupling to adjacent neighbors that generate excessive data-patterndependent delay and delay violation. The medium and long

2 358 Chun et al. lines are mostly limited by the capacitive and inductive coupling, causing excessive crosstalk, especially when these lines are driven by larger low-impedance devices. Signal integrity noise is broadly classified into two types. Functional noise occurs when a victim line is intended to be at a stable state and results in an unwanted noise pulse on the net. Delay noise occurs when noise is injected on a net when it transitions, and results in a change in the delay of the net. As mentioned above, the RC interconnect models underestimate the noise error of the victim line. We analyzed this underestimation problem of previous works by comparing each type of noise error. The following two cases were considered: (1) all inductances are ignored (RC interconnect modeling) and (2) all inductance and capacitances are considered (RLC interconnect modeling). First, we analyzed the functional noise of the victim line. The simulation results for the functional noise are shown in Fig. 1a. Note that, in Fig. 1, the interconnection parameters are as follows: the resistance of 0.1 W/mm, the self-inductance of 0.6 ph/mm and the mutual inductance of 0.4 ph/mm are considered. In addition, the capacitance is ff/mm and the coupling capacitance is ff/mm. Interconnection length of 1,000 mm is considered. The waveforms in Fig. 1 highlight the initial fast LC-like transition of the signals even for fairly resistive lines. Note that the tool FASTHENRY was used for extracting inductance values [6] and all the simulation results for a given RLC network were obtained using HSPICE simulation [5]. In case (2), this magnitude is more than twice as large as the pulse for case (1). This demonstrates that signal integrity noise induced by a combination of mutual inductance and capacitance can be more severe than that due to capacitive coupling alone. In the second experiment, signal integrity slowdown was analyzed. The output is shown in Fig. 1b. As shown in Fig. 1b, not only was the absolute magnitude of the delay higher than the alternatives,_ but also the inductanceinduced slowdown caused the latest occurring transition among the two cases. Thus, the magnitude of signal integrity slowdown may increase when parasitic inductances are considered. Similarly, there were differences of speedup between case (1) and (2); the latter_s rate was much larger. 3. Signal Integrity Fault Model and Test 3.1. Maximal Dominant Signal Integrity Fault Model The effective characteristic impedance and propagation delay of a interconnect line will change with different switching patterns in SoCs where significant coupling between traces exists. Electric and magnetic fields interact in specific ways that depend on the data patterns on the coupled lines. An odd-mode propagation mode is defined as the case when two coupled interconnection lines are driven with equal magnitude and 180- out of phase with one another. The effective coupling capacitance of the interconnect line will increase by the coupling capacitance and the equivalent inductance will decrease by the mutual inductance. In this case, the increased capacitance value and the decreased inductance value become 1õ2 times depending on the relative strength of the aggressor driver and the victim driver. Even-mode occurs when two coupled interconnection lines are driven with equal magnitude and are in phase with one another. The effective capacitance and the equivalent inductance will increase by the mutual inductance. To resolve the limitations of the MA fault model, we propose a new signal integrity fault model called the MDSI (Maximal Dominant Signal Integrity) fault model. Our proposed fault model consists of a single victim line, oddmode propagations for limited number of near aggressor lines and even-mode transitions of the other lines for functional glitch faults and slowdown faults. In addition, all the aggressors are even transitions for speedup faults. The basis of the MDSI fault model is the combined effect of capacitances and inductances. In the RLC interconnect model, we have observed an interesting fact. The mutual inductance is always added or subtracted in the opposite manner as the coupling capacitance for odd- and even-mode propagation. For example, in odd-mode propagation, the effect of the coupling capacitance must be added because the conductors are at different potentials. Additionally, since the currents in the two conductors are always flowing in opposite directions, the currents induced on each line due Fig. 1. Signal integrity noise of the victim line.

3 MDSI 359 Fig. 2. Required transitions for the MDSI fault model. to the coupling of the magnetic fields always oppose each other and cancel out any effect due to the mutual inductance. Subsequently, the mutual inductance must be subtracted and the coupling capacitance must be added to calculate the odd-mode characteristics. However, since the effect scope of the coupling capacitance is much narrower than that of the mutual inductance, the effects of adding the coupling capacitance in odd-mode propagation are restricted within narrow boundaries. Therefore, the number of lines (aggressors) nearby the victim line can be limited. We define á as a limited factor that is determined empirically showing how far the effects of capacitances at aggressor lines remain significant. The appropriate value of the limited factor depends on technology and application. However, once a user based on application and accurate simulation provides it, effective test patterns are determinable. We found the following properties of signal integrity. Property 1 For two tests t 1 and t 2 for signal integrity faults, if t 1 has one or more contributive transitions beside all the contributive transitions that t 2 has, the error produced by t 1 is more significant than that produced by t 2. In this case, we refer that t 2 is dominated by t 1 and t 1 is more dominant test than t 2 for the noise error of the victim line v. Therefore, to obtain the most dominant test vectors, it is important to determine the adequate limited factor a. The limited factor a is determined empirically or by using the HSPICE simulation [5] partially. Assuming that the limited factor has been determined, there is only one modeled fault in the MDSI model for each error on a victim line L i, and only one set of transitions that can excite that fault unless the bus topologies in a chip are considered. Fig. 2 shows the transitions on the victim and aggressor wires required to excite the six different possible faults for a victim wire L i under the maximal signal integrity fault model without considering the topology of interconnects. Therefore, when the topology of interconnects is not considered, we can say that for an N-line wide set of interconnects, the fault model has 6 N faults, and requires 6 N two-pattern tests. Discussions of the effect of the topology in our proposed fault model are presented in the next section Consideration of Interconnection Topology An interconnection shown in Fig. 3 is used to discuss the impact of the interconnection topology. The length of the portion of an aggressor line that is adjacent to the victim Fig. 3. An example of an interconnect.

4 360 Chun et al. Fig. 4. Signal integrity effect vs. length of an aggressor. line is increased in order to determine the effect of the length of the portion of an aggressor line. As shown in the results of HSPICE simulations [5] in Fig. 4, the effects of the signal integrity at the victim line deteriorates in proportion to the length of portion of the aggressor line. Since we used the distributed RLC interconnection model, the parasitic values according to the length of the aggressor are easily obtained. After accounting for the interconnect topology, the signal integrity error depends on the length of the aggressor and the distance of the aggressor from the victim line. For example, we assumed that the L3 line in Fig. 3a is the victim line. In this case, the effect of the L2 line and the effect of the L4 line is the same if we do not consider the interconnect topology. However, the effect of the L4 is actually more severe than that of the L2 because the effective lengths as the aggressor are different. Considering the interconnect topology, the signal integrity error depends on the length of the aggressor and the distance of the aggressor from the victim line. The interconnection is depicted by a graph where vertices represent interconnects and edges represent distances from the victim line. In addition, each vertex contains the value of the actually effective length as the aggressor. The topological representation of the above example in Fig. 3a is shown in the graph in Fig. 3b. The number of graphs for the MDSI fault model equals the number of vertices in the graph, since each vertex represents a possible victim and the value of each vertex differs corresponding to the victim line. The above topological representation graph is based on the assumption that any pair of adjacent lines have the same significant coupling effect. In fact, adjacent lines do not have sufficient coupling capacitances if the length of the portion of these lines that are adjacent to the coupling capacitance between the lines is small. Therefore, the effects of the coupling capacitances and the mutual inductances differ according to the effective length of the aggressor and the distance of the aggressor from the victim line. We defined b as an impact factor that shows how severely the effect of capacitance at an aggressor line affects the victim line in the topology representation graph. The impact factor b of the aggressor i is calculated as the following equation. b ¼ el i d i ð1þ where d i is the distance of the aggressor i from the victim line. The relation between a and b is defined by the following equation. b C Ci el a a C Ca ð2þ where C Ci is the coupling capacitance between line i and the victim line and el a is the effective length of the aggressor line which have a distance from the victim line when the interconnection topology is not considered. Note that a, el a and C Ca are obtained assuming that all the interconnections are the same length and the length is the longest in the interconnection topology. Using this relation between a and b, more dominant test patterns for the MDSI fault model are generated. Table 1. Comparison of various interconnect systems. BUS MA (%) [4] MT (%) [11] MDSI w/o ITG (%) 8 bit (1) bit (2) bit (3) bit (1) bit (2) bit (3) bit (1) bit (2) bit (3) MDSI w/ ITG (%)

5 MDSI Test Generation For a given interconnect system, a RLC network is generated by using parasitic extraction tools with process technology libraries. Next, the limited factor á is determined empirically or by using the HSPICE simulation [5] partially. After the determination of the limited factor, a victim line is selected from the list of possible victims and then the interconnection topology graph for the selected victim line is generated. For the generated interconnect topology graph, the desired test vector pair for the proposed MDSI fault model is produced by using the relation equation 2. Until the list of possible victims is empty, the above test pattern generation procedures are continued. 4. Experimental Results Using 8, 16 and 32 interconnection systems with randomly generated interconnection topologies, running for 3 mm in parallel on metal layer 4, and in a 0.18 mm process, we demonstrated the efficiency of the MDSI fault model with the interconnection topology graph. For the given RLC network, we injected 100 random signal integrity defects and simulate in order to obtain the defect coverage for test vectors for the MDSI fault model and the MA fault model. Table 1 shows the defect coverage percentages for the MA fault model, the MDSI fault model without the interconnection topology and the MDSI fault model with the interconnection topology. Note that the defect coverage of the applied test set T is calculated using the following equation. number of detected defects DCðTÞ ¼ number of the injected defects 100 ð3þ As shown in Table 1, among the three test vector sets, the MDSI fault model with the interconnection topology is the most efficient for all the interconnect systems. To find the appropriate a value, we monitored variations when we increased aggressor lines. Though HSPICE simulation, we found that the noise voltage difference between a=3 and a=4 is 0.06 V which for many systems can be assumed negligible in our interconnect models. Therefore, we used a=3 as the limited factor for our experiments. Note that non-aggressor lines keep 0 V. 5. Conclusions In this paper, we proposed a new signal integrity fault model for a given RLC interconnection. The proposed MDSI (Maximal Dominant Signal Integrity) fault model is based on the analysis of the impact of aggressor lines on signal integrity effect at a victim line. Test vector pair for each type of signal integrity fault was also described. We then presented a methodology to deal with arbitrary interconnect topology. Using the interconnection topology graph, our methodology generates test vector pairs to maximize the impact of aggressor lines. Experimental results showed that the proposed MDSI fault model is more exact than the MA fault model and the MT fault model and the defect coverage is much higher since the MA fault model and the MT fault model lead to underestimation of signal integrity effects caused by considering only the coupling capacitor_s effects. Acknowledgment This work was supported by the Korea Science and Engineering Foundation Grant funded by the Korea government (MOST) No. R References 1. A. Attarha and M. Nourani, BTest Pattern Generation for Signal Integrity Faults on Long Interconnects,^ Proc. IEEE VLSI Test Symp., pp , W. Chen, S.K. Gupta, and M.A. Breuer, BAnalytic Models for Crosstalk Delay and Pulse Analysis Under Non-Ideal Input,^ Proc. Int. Test Conf., pp , C. Cheng, J. Lillis, S. Lin, and N. Chang, Interconnect Analysis and Synthesis, New York: Wiley, M. Cuviello, S. Dey, X. Bai, and Y. Zhao, BFault Modeling and Simulation for Crosstalk in System-on-Chip Interconnects,^ Proc. Int. Conf. Computer-aided Design, pp , HSPICE, v SP1, Synopsys Corporation. 6. M. Kamon, T.J. Tsuk, and J.K. White, BFASTHENRY: A Multipole Accelerated 3-D Inductance Extraction Program,^ IEEE Trans. Microwave Theor. Tech., vol. 42, pp , K.T. Lee, C. Nordquist, and J.A. Abraham, BTest Generation for Crosstalk Effects in VLSI Circuits,^ Proc. IEEE VLSI Test Symp., pp , M. Nourani and A. Attarha, BBuilt-In Self Test for Signal Integrity,^ Proc. Des. Autom. Conf., pp , A. Rubio, N. Itazaki, X. Xu, and K. Kinoshita, BAn Approach to the Analysis and Detection of Crosstalk Faults in Digital VLSI Circuits,^ IEEE Trans. Comput.-aided Des. Integr. Circuits Syst., vol. 13, pp , W. Sirisaengtaksin and S.K. Gupta, BEnhanced Crosstalk Fault Model and Methodology to Generate Tests for Arbitrary Inter-core Interconnect Topology,^ Proc. Asian Test Symp., pp , M.H. Tehranipour, N. Ahmed, and M. Nourani, BTesting SoC Interconnects for Signal Integrity using Extended JTAG Architecture,^ IEEE Trans. Comput.-aided Des. Integr. Circuits Syst., vol. 23, pp , Sunghoon Chun received his B.S. degree in Electrical and Electronic Engineering from Yonsei University, Seoul, Korea in He was a Reseach Engineer with ASIC Research Center in Yonsei University. He researched for test methodologies for SoC. He received his M.S. degrees in Electrical and Electronic Engineering from Yonsei Uiversity in He is currently working toward Ph.D. degree in Electrical and Electronic Engineering at Yonsei University. His area of interests include SoC testing, delay

6 362 Chun et al. testing, fault diagnosis, functional testing for processor based system and test methodologies for signal integrity faults. Yongioon Kim was born in Seoul, Korea on June 10, He received his B.S. and M.S. degrees in Electrical and Electronic Engineering from Yonsei University, Seoul, Korea in 2002 and 2004, respectively. He is currently working towards the Ph.D. degree in Electrical and Electronic Engineering at Yonsei University. His area of interest includes SOC testing and computer-aided design (CAD) tools for SoC. Sungho Kang received his B.S. degree from Seoul National University, Seoul, Korea and M.S. and Ph.D. degrees in Electrical and Computer Engineering from The University of Texas at Austin. He was a Post-Doctorial Fellow with the University of Texas at Austin, a Research Scientist with the Schlumberger Laboratory for Computer Science, Schlumberger Inc., and a Senior Staff Engineer with the Semiconductor Systems Design Technology, Motorola Inc. Since 1994, he has been an Associate Professor with the Department of Electrical and Electronic Engineering, Yonsei University, Seoul, Korea. His current research interests include VLSI design, VLSI CAD, and VLSI testing and design for testability.

A High-level Signal Integrity Fault Model and Test Methodology for Long On-Chip Interconnections

A High-level Signal Integrity Fault Model and Test Methodology for Long On-Chip Interconnections 2009 27th IEEE VLSI Test Symposium A High-level Signal Integrity Fault Model and Test Methodology for Long On-Chip Interconnections Sunghoon Chun 1, Yongjoon Kim 1, Taejin Kim 2 and Sungho Kang 1 1 Department

More information

Multiple Transition Model and Enhanced Boundary Scan Architecture to Test Interconnects for Signal Integrity

Multiple Transition Model and Enhanced Boundary Scan Architecture to Test Interconnects for Signal Integrity Multiple Model and Enhanced Boundary Scan Architecture to Test Interconnects for Signal Integrity M. H. Tehranipour, N. Ahmed, M. Nourani Center for Integrated Circuits & Systems The University of Texas

More information

Worst Case RLC Noise with Timing Window Constraints

Worst Case RLC Noise with Timing Window Constraints Worst Case RLC Noise with Timing Window Constraints Jun Chen Electrical Engineering Department University of California, Los Angeles jchen@ee.ucla.edu Lei He Electrical Engineering Department University

More information

An Efficient Model for Frequency-Dependent On-Chip Inductance

An Efficient Model for Frequency-Dependent On-Chip Inductance An Efficient Model for Frequency-Dependent On-Chip Inductance Min Xu ECE Department University of Wisconsin-Madison Madison, WI 53706 mxu@cae.wisc.edu Lei He ECE Department University of Wisconsin-Madison

More information

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit I J C T A, 9(15), 2016, pp. 7465-7470 International Science Press Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit B. Gobinath* and B. Viswanathan** ABSTRACT

More information

Impact of Low-Impedance Substrate on Power Supply Integrity

Impact of Low-Impedance Substrate on Power Supply Integrity Impact of Low-Impedance Substrate on Power Supply Integrity Rajendran Panda and Savithri Sundareswaran Motorola, Austin David Blaauw University of Michigan, Ann Arbor Editor s note: Although it is tempting

More information

Clocktree RLC Extraction with Efficient Inductance Modeling

Clocktree RLC Extraction with Efficient Inductance Modeling Clocktree RLC Extraction with Efficient Inductance Modeling Norman Chang, Shen Lin, Lei He*, O. Sam Nakagawa, and Weize Xie Hewlett-Packard Laboratories, Palo Alto, CA, USA *University of Wisconsin, Madison,

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

Test Pattern Generation for Signal Integrity Faults on Long Interconnects

Test Pattern Generation for Signal Integrity Faults on Long Interconnects Test Pattern Generation for Signal Integrity Faults on Long Interconnects Amir Attarha Advanced DSP Development LSI Logic, Corporation Plano, TX 75074 aattarha@lsil.com Mehrdad Nourani Center for Integrated

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Static Timing Analysis Taking Crosstalk into Account 1

Static Timing Analysis Taking Crosstalk into Account 1 Static Timing Analysis Taking Crosstalk into Account 1 Matthias Ringe IBM Deutschland Entwicklung GmbH, Schönaicher Str. 220 71032 Böblingen; Germany ringe@de.ibm.com Thomas Lindenkreuz Robert Bosch GmbH,

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

Inductance 101: Analysis and Design Issues

Inductance 101: Analysis and Design Issues Inductance 101: Analysis and Design Issues Kaushik Gala, David Blaauw, Junfeng Wang, Vladimir Zolotov, Min Zhao Motorola Inc., Austin TX 78729 kaushik.gala@motorola.com Abstract With operating frequencies

More information

THE FEATURE size of integrated circuits has aggressively. Impedance Characteristics of Power Distribution Grids in Nanoscale Integrated Circuits

THE FEATURE size of integrated circuits has aggressively. Impedance Characteristics of Power Distribution Grids in Nanoscale Integrated Circuits 1148 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 11, NOVEMBER 2004 Impedance Characteristics of Power Distribution Grids in Nanoscale Integrated Circuits Andrey V. Mezhiba

More information

UNEXPECTED through-silicon-via (TSV) defects may occur

UNEXPECTED through-silicon-via (TSV) defects may occur IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 10, OCTOBER 2017 1759 Grouping-Based TSV Test Architecture for Resistive Open and Bridge Defects in 3-D-ICs Young-woo

More information

WebHenry Web Based RLC interconnect tool

WebHenry Web Based RLC interconnect tool WebHenry Web Based RLC interconnect tool http://eda.ece.wisc.edu/webhenry Project Leader: Prof Lei He Students : Min Xu, Karan Mehra EDA Lab (http://eda.ece.wisc.edu] ECE Dept., University of Wisconsin,

More information

Zhan Chen and Israel Koren. University of Massachusetts, Amherst, MA 01003, USA. Abstract

Zhan Chen and Israel Koren. University of Massachusetts, Amherst, MA 01003, USA. Abstract Layer Assignment for Yield Enhancement Zhan Chen and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 0003, USA Abstract In this paper, two algorithms

More information

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Srinivasa R. Sridhara, Arshad Ahmed, and Naresh R. Shanbhag Coordinated Science Laboratory/ECE Department University of Illinois at

More information

Skin Effect: A Natural Phenomenon for Minimization of Ground Bounce in VLSI RC Interconnect Shilpi Lavania

Skin Effect: A Natural Phenomenon for Minimization of Ground Bounce in VLSI RC Interconnect Shilpi Lavania Skin Effect: A Natural Phenomenon for Minimization of Ground Bounce in VLSI RC Interconnect Shilpi Lavania International Science Index, Electronics and Communication Engineering waset.org/publication/9997602

More information

Test Automation - Automatic Test Generation Technology and Its Applications

Test Automation - Automatic Test Generation Technology and Its Applications Test Automation - Automatic Test Generation Technology and Its Applications 1. Introduction Kwang-Ting (Tim) Cheng and Angela Krstic Department of Electrical and Computer Engineering University of California

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

CROSS-COUPLING capacitance and inductance have. Performance Optimization of Critical Nets Through Active Shielding

CROSS-COUPLING capacitance and inductance have. Performance Optimization of Critical Nets Through Active Shielding IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 51, NO. 12, DECEMBER 2004 2417 Performance Optimization of Critical Nets Through Active Shielding Himanshu Kaul, Student Member, IEEE,

More information

Analysis of Laddering Wave in Double Layer Serpentine Delay Line

Analysis of Laddering Wave in Double Layer Serpentine Delay Line International Journal of Applied Science and Engineering 2008. 6, 1: 47-52 Analysis of Laddering Wave in Double Layer Serpentine Delay Line Fang-Lin Chao * Chaoyang University of Technology Taichung, Taiwan

More information

Noise Generation and Coupling Mechanisms in Deep-Submicron ICs

Noise Generation and Coupling Mechanisms in Deep-Submicron ICs Noise Generation and Coupling Mechanisms in Deep-Submicron ICs Xavier Aragonès, Jose Luis González, Francesc Moll, and Antonio Rubio Universitat Politècnica de Catalunya On-chip noise generation and coupling

More information

DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP

DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP S. Narendra, G. Munirathnam Abstract In this project, a low-power data encoding scheme is proposed. In general, system-on-chip (soc)

More information

SINCE the performance of personal computers (PCs) has

SINCE the performance of personal computers (PCs) has 334 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 5, MAY 2010 Multi-Slot Main Memory System for Post DDR3 Jaejun Lee, Sungho Lee, and Sangwook Nam, Member, IEEE Abstract This

More information

A Novel Single-Stage Push Pull Electronic Ballast With High Input Power Factor

A Novel Single-Stage Push Pull Electronic Ballast With High Input Power Factor 770 IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS, VOL. 48, NO. 4, AUGUST 2001 A Novel Single-Stage Push Pull Electronic Ballast With High Input Power Factor Chang-Shiarn Lin, Member, IEEE, and Chern-Lin

More information

Reducing Switching Activities Through Data Encoding in Network on Chip

Reducing Switching Activities Through Data Encoding in Network on Chip American-Eurasian Journal of Scientific Research 10 (3): 160-164, 2015 ISSN 1818-6785 IDOSI Publications, 2015 DOI: 10.5829/idosi.aejsr.2015.10.3.22279 Reducing Switching Activities Through Data Encoding

More information

IN THE modern integrated circuit (IC) industry, threedimensional

IN THE modern integrated circuit (IC) industry, threedimensional 458 IEEE TRANSACTIONS ON RELIABILITY, VOL. 66, NO. 2, JUNE 2017 R 2 -TSV: A Repairable and Reliable TSV Set Structure Reutilizing Redundancies Jaeseok Park, Minho Cheong, and Sungho Kang, Senior Member,

More information

A New Soft Recovery PWM Quasi-Resonant Converter With a Folding Snubber Network

A New Soft Recovery PWM Quasi-Resonant Converter With a Folding Snubber Network 456 IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS, VOL. 49, NO. 2, APRIL 2002 A New Soft Recovery PWM Quasi-Resonant Converter With a Folding Snubber Network Jin-Kuk Chung, Student Member, IEEE, and Gyu-Hyeong

More information

A Novel Encoding Scheme for Cross-Talk Effect Minimization Using Error Detecting and Correcting Codes

A Novel Encoding Scheme for Cross-Talk Effect Minimization Using Error Detecting and Correcting Codes International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 A Novel Encoding Scheme for Cross-Talk Effect Minimization Using Error Detecting and Correcting Codes Souvik

More information

Implementation of Memory Less Based Low-Complexity CODECS

Implementation of Memory Less Based Low-Complexity CODECS Implementation of Memory Less Based Low-Complexity CODECS K.Vijayalakshmi, I.V.G Manohar & L. Srinivas Department of Electronics and Communication Engineering, Nalanda Institute Of Engineering And Technology,

More information

Figure 1. Inductance

Figure 1. Inductance Tools for On-Chip Interconnect Inductance Extraction Jerry Tallinger OEA International Inc. 155 East Main Ave., Ste. 110 Morgan Hill, CA 95037 jerry@oea.com Haris Basit OEA International Inc. 155 East

More information

Internal Model of X2Y Chip Technology

Internal Model of X2Y Chip Technology Internal Model of X2Y Chip Technology Summary At high frequencies, traditional discrete components are significantly limited in performance by their parasitics, which are inherent in the design. For example,

More information

Clocktree RLC Extraction with Efficient Inductance Modeling

Clocktree RLC Extraction with Efficient Inductance Modeling Clocktree RLC Extraction with Efficient Inductance Modeling Norman Chang, hen Lin, Lei He*, O. am Nakagawa, and Weize Xie Hewlett-Packard Laboratories, Palo Alto, CA 94303, UA *ECE Dept., University of

More information

A Bottom-Up Approach to on-chip Signal Integrity

A Bottom-Up Approach to on-chip Signal Integrity A Bottom-Up Approach to on-chip Signal Integrity Andrea Acquaviva, and Alessandro Bogliolo Information Science and Technology Institute (STI) University of Urbino 6029 Urbino, Italy acquaviva@sti.uniurb.it

More information

Driver Modeling and Alignment for Worst-Case Delay Noise

Driver Modeling and Alignment for Worst-Case Delay Noise IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 11, NO. 2, APRIL 2003 157 Driver Modeling and Alignment for Worst-Case Delay Noise David Blaauw, Member, IEEE, Supamas Sirichotiyakul,

More information

Enhancement of Fault Current and Overvoltage by Active Type superconducting fault current limiter (SFCL) in Renewable Distributed Generation (DG)

Enhancement of Fault Current and Overvoltage by Active Type superconducting fault current limiter (SFCL) in Renewable Distributed Generation (DG) Enhancement of Fault Current and Overvoltage by Active Type superconducting fault current limiter (SFCL) in Renewable Distributed Generation (DG) PATTI.RANADHEER Assistant Professor, E.E.E., PACE Institute

More information

Test-Wrapper Designs for the Detection of Signal-Integrity Faults on Core-External Interconnects of SoCs

Test-Wrapper Designs for the Detection of Signal-Integrity Faults on Core-External Interconnects of SoCs Test-Wrapper Designs for the Detection of Signal-Integrity Faults on Core-External Interconnects of SoCs Qiang Xu and Yubin Zhang Computer Science and Engineering The Chinese University of Hong Kong Shatin,

More information

(2) v max = (3) III. SCENARIOS OF PROCESS ADVANCE AND SIMULATION SETUP

(2) v max = (3) III. SCENARIOS OF PROCESS ADVANCE AND SIMULATION SETUP Quantitative Prediction of On-chip Capacitive and Inductive Crosstalk Noise and Discussion on Wire Cross-Sectional Area Toward Inductive Crosstalk Free Interconnects Yasuhiro Ogasahara, Masanori Hashimoto,

More information

Parallel vs. Serial Inter-plane communication using TSVs

Parallel vs. Serial Inter-plane communication using TSVs Parallel vs. Serial Inter-plane communication using TSVs Somayyeh Rahimian Omam, Yusuf Leblebici and Giovanni De Micheli EPFL Lausanne, Switzerland Abstract 3-D integration is a promising prospect for

More information

Closed-Form Expressions for Interconnection Delay, Coupling, and Crosstalk in VLSI s

Closed-Form Expressions for Interconnection Delay, Coupling, and Crosstalk in VLSI s Closed-Form Expressions for Interconnection Delay, Coupling, and Crosstalk in VLSI s author Dr. Takayasu Sakurai Semiconductor Device Engnieering Laboratory, Toshiba Corporation, Tokoyo, Japan IEEE Transaction

More information

A Two-bit Bus-Invert Coding Scheme With a Mid-level State Bus-Line for Low Power VLSI Design

A Two-bit Bus-Invert Coding Scheme With a Mid-level State Bus-Line for Low Power VLSI Design http://dx.doi.org/10.5573/jsts.014.14.4.436 JOURNAL OF SEICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.4, AUGUST, 014 A Two-bit Bus-Invert Coding Scheme With a id-level State Bus-Line for Low Power VLSI

More information

On-Chip Inductance Modeling

On-Chip Inductance Modeling On-Chip Inductance Modeling David Blaauw Kaushik Gala ladimir Zolotov Rajendran Panda Junfeng Wang Motorola Inc., Austin TX 78729 ABSTRACT With operating frequencies approaching the gigahertz range, inductance

More information

43.2. Figure 1. Interconnect analysis using linear simulation and superposition

43.2. Figure 1. Interconnect analysis using linear simulation and superposition 43.2 Driver Modeling and Alignment for Worst-Case Delay Noise Supamas Sirichotiyakul, David Blaauw, Chanhee Oh, Rafi Levy*, Vladimir Zolotov, Jingyan Zuo Motorola Inc. Austin, TX, *Motorola Semiconductor

More information

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 19, Number 3, 2016, 199 212 Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics Saurabh

More information

A Scan Shifting Method based on Clock Gating of Multiple Groups for Low Power Scan Testing

A Scan Shifting Method based on Clock Gating of Multiple Groups for Low Power Scan Testing A Scan Shifting Meod based on Clock Gating of Multiple Groups for Low Power Scan Testing Sungyoul Seo 1, Yong Lee 1, Joohwan Lee 2, Sungho Kang 1 1 Department of Electrical and Electronic Engineering,

More information

Exclusive Technology Feature. Leakage Inductance (Part 1): Friend Or Foe? The Underlying Physics. ISSUE: October 2015

Exclusive Technology Feature. Leakage Inductance (Part 1): Friend Or Foe? The Underlying Physics. ISSUE: October 2015 ISSUE: October 2015 Leakage Inductance (Part 1): Friend Or Foe? by Ernie Wittenbreder, Technical Witts, Flagstaff, Ariz There are situations in which leakage inductance in a transformer or coupled inductor

More information

Data Word Length Reduction for Low-Power DSP Software

Data Word Length Reduction for Low-Power DSP Software EE382C: LITERATURE SURVEY, APRIL 2, 2004 1 Data Word Length Reduction for Low-Power DSP Software Kyungtae Han Abstract The increasing demand for portable computing accelerates the study of minimizing power

More information

Dynamic thermal management for 3D multicore processors under process variations

Dynamic thermal management for 3D multicore processors under process variations LETTER Dynamic thermal management for 3D multicore processors under process variations Hyejeong Hong, Jaeil Lim, Hyunyul Lim, and Sungho Kang a) School of Electrical and Electronic Engineering, Yonsei

More information

Measurement of Laddering Wave in Lossy Serpentine Delay Line

Measurement of Laddering Wave in Lossy Serpentine Delay Line International Journal of Applied Science and Engineering 2006.4, 3: 291-295 Measurement of Laddering Wave in Lossy Serpentine Delay Line Fang-Lin Chao * Department of industrial Design, Chaoyang University

More information

DesignCon Impedance Matching Techniques for VLSI Packaging. Brock J. LaMeres, Agilent Technologies, Inc. Rajesh Garg, Texas A&M University

DesignCon Impedance Matching Techniques for VLSI Packaging. Brock J. LaMeres, Agilent Technologies, Inc. Rajesh Garg, Texas A&M University DesignCon 2006 Impedance Matching Techniques for VLSI Packaging Brock J. LaMeres, Agilent Technologies, Inc. Rajesh Garg, Texas A&M University Kanupriva Gulati, Texas A&M University Sunil P. Khatri, Texas

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

EMI Filters Demystified. By William R. Bill Limburg February 21, 2018 Phoenix Chapter, IEEE EMC Society

EMI Filters Demystified. By William R. Bill Limburg February 21, 2018 Phoenix Chapter, IEEE EMC Society EMI Filters Demystified By William R. Bill Limburg February 21, 2018 Phoenix Chapter, IEEE EMC Society An EMI Filter Defined An EMI filter is a network designed to prevent unwanted electrical conducted

More information

MULTIPLE metal layers are used for interconnect in

MULTIPLE metal layers are used for interconnect in IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL 12, NO 4, APRIL 2004 437 Modeling Skin and Proximity Effects With Reduced Realizable RL Circuits Shizhong Mei and Yehea I Ismail, Member,

More information

Study of Inductive and Capacitive Reactance and RLC Resonance

Study of Inductive and Capacitive Reactance and RLC Resonance Objective Study of Inductive and Capacitive Reactance and RLC Resonance To understand how the reactance of inductors and capacitors change with frequency, and how the two can cancel each other to leave

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

All-digital ramp waveform generator for two-step single-slope ADC

All-digital ramp waveform generator for two-step single-slope ADC All-digital ramp waveform generator for two-step single-slope ADC Tetsuya Iizuka a) and Kunihiro Asada VLSI Design and Education Center (VDEC), University of Tokyo 2-11-16 Yayoi, Bunkyo-ku, Tokyo 113-0032,

More information

Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits

Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 8, NO. 2, APRIL 2000 195 Effects of Inductance on the Propagation Delay Repeater Insertion in VLSI Circuits Yehea I. Ismail Eby G.

More information

Path Delay Test Compaction with Process Variation Tolerance

Path Delay Test Compaction with Process Variation Tolerance 50.1 Path Delay Test Compaction with Process Variation Tolerance Seiji Kajihara Masayasu Fukunaga Xiaoqing Wen Kyushu Institute of Technology 680-4 Kawazu, Iizuka, 820-8502 Japan e-mail:{kajihara, fukunaga,

More information

Step Response of RC Circuits

Step Response of RC Circuits EE 233 Laboratory-1 Step Response of RC Circuits 1 Objectives Measure the internal resistance of a signal source (eg an arbitrary waveform generator) Measure the output waveform of simple RC circuits excited

More information

A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms *

A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms * A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms * Hanif Fatemi Shahin Nazarian Massoud Pedram EE-Systems Dept., University of Southern California Los Angeles, CA

More information

Methods for Reducing the Activity Switching Factor

Methods for Reducing the Activity Switching Factor International Journal of Engineering Research and Development e-issn: 2278-67X, p-issn: 2278-8X, www.ijerd.com Volume, Issue 3 (March 25), PP.7-25 Antony Johnson Chenginimattom, Don P John M.Tech Student,

More information

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Dan Holcomb Wenchao Li Sanjit A. Seshia Department of EECS University of California, Berkeley Design Automation and Test in

More information

Mitigation of Common mode Noise for PFC Boost Converter by Balancing Technique

Mitigation of Common mode Noise for PFC Boost Converter by Balancing Technique Mitigation of Common mode Noise for PFC Boost Converter by Balancing Technique Nasir *, Jon Cobb *Faculty of Science and Technology, Bournemouth University, Poole, UK, nasir@bournemouth.ac.uk, Faculty

More information

Delay-based clock generator with edge transmission and reset

Delay-based clock generator with edge transmission and reset LETTER IEICE Electronics Express, Vol.11, No.15, 1 8 Delay-based clock generator with edge transmission and reset Hyunsun Mo and Daejeong Kim a) Department of Electronics Engineering, Graduate School,

More information

Accurate Modeling of Core-Type Distribution Transformers for Electromagnetic Transient Studies

Accurate Modeling of Core-Type Distribution Transformers for Electromagnetic Transient Studies IEEE TRANSACTIONS ON POWER DELIVERY, VOL. 17, NO. 4, OCTOBER 2002 969 Accurate Modeling of Core-Type Distribution Transformers for Electromagnetic Transient Studies Taku Noda, Member, IEEE, Hiroshi Nakamoto,

More information

Equivalent Circuit Model Overview of Chip Spiral Inductors

Equivalent Circuit Model Overview of Chip Spiral Inductors Equivalent Circuit Model Overview of Chip Spiral Inductors The applications of the chip Spiral Inductors have been widely used in telecommunication products as wireless LAN cards, Mobile Phone and so on.

More information

Emulating and Diagnosing IR-Drop by Using Dynamic SDF

Emulating and Diagnosing IR-Drop by Using Dynamic SDF Emulating and Diagnosing IR-Drop by Using Dynamic SDF Ke Peng *, Yu Huang **, Ruifeng Guo **, Wu-Tung Cheng **, Mohammad Tehranipoor * * ECE Department, University of Connecticut, {kpeng, tehrani}@engr.uconn.edu

More information

MODERN switching power converters require many features

MODERN switching power converters require many features IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 19, NO. 1, JANUARY 2004 87 A Parallel-Connected Single Phase Power Factor Correction Approach With Improved Efficiency Sangsun Kim, Member, IEEE, and Prasad

More information

Power Distribution Paths in 3-D ICs

Power Distribution Paths in 3-D ICs Power Distribution Paths in 3-D ICs Vasilis F. Pavlidis Giovanni De Micheli LSI-EPFL 1015-Lausanne, Switzerland {vasileios.pavlidis, giovanni.demicheli}@epfl.ch ABSTRACT Distributing power and ground to

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 9: Noise Sources Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 5 Report and Prelab 6 due Apr. 3 Stateye

More information

Managing Cross-talk Noise

Managing Cross-talk Noise Managing Cross-talk Noise Rajendran Panda Motorola Inc., Austin, TX Advanced Tools Organization Central in-house CAD tool development and support organization catering to the needs of all design teams

More information

DDR4 memory interface: Solving PCB design challenges

DDR4 memory interface: Solving PCB design challenges DDR4 memory interface: Solving PCB design challenges Chang Fei Yee - July 23, 2014 Introduction DDR SDRAM technology has reached its 4th generation. The DDR4 SDRAM interface achieves a maximum data rate

More information

Fast Statistical Timing Analysis By Probabilistic Event Propagation

Fast Statistical Timing Analysis By Probabilistic Event Propagation Fast Statistical Timing Analysis By Probabilistic Event Propagation Jing-Jia Liou, Kwang-Ting Cheng, Sandip Kundu, and Angela Krstić Electrical and Computer Engineering Department, University of California,

More information

Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling

Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 10, OCTOBER 2001 1587 Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling Takashi Sato, Member, IEEE, Dennis

More information

Signal Integrity for Gigascale SOC Design. Professor Lei He ECE Department University of Wisconsin, Madison

Signal Integrity for Gigascale SOC Design. Professor Lei He ECE Department University of Wisconsin, Madison Signal Integrity for Gigascale SOC Design Professor Lei He ECE Department University of Wisconsin, Madison he@ece.wisc.edu http://eda.ece.wisc.edu Outline Capacitive noise Technology trends Capacitance

More information

Microstrip even-mode half-wavelength SIR based I-band interdigital bandpass filter

Microstrip even-mode half-wavelength SIR based I-band interdigital bandpass filter Indian Journal of Engineering & Materials Sciences Vol. 9, October 0, pp. 99-303 Microstrip even-mode half-wavelength SIR based I-band interdigital bandpass filter Ram Krishna Maharjan* & Nam-Young Kim

More information

Precise Analytical Solution for the Peak Gain of LLC Resonant Converters

Precise Analytical Solution for the Peak Gain of LLC Resonant Converters 680 Journal of Power Electronics, Vol. 0, No. 6, November 200 JPE 0-6-4 Precise Analytical Solution for the Peak Gain of LLC Resonant Converters Sung-Soo Hong, Sang-Ho Cho, Chung-Wook Roh, and Sang-Kyoo

More information

Classification of Voltage Sag Using Multi-resolution Analysis and Support Vector Machine

Classification of Voltage Sag Using Multi-resolution Analysis and Support Vector Machine Journal of Clean Energy Technologies, Vol. 4, No. 3, May 2016 Classification of Voltage Sag Using Multi-resolution Analysis and Support Vector Machine Hanim Ismail, Zuhaina Zakaria, and Noraliza Hamzah

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002 Overview ECE 3: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic and Fault Modeling Motivation Logic Modeling Model types Models at different levels of abstractions Models and definitions Fault Modeling

More information

Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths

Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths Junxia Ma, Jeremy Lee and Mohammad Tehranipoor ECE Department, University of Connecticut, CT, 06269 {junxia, jslee,

More information

Characteristic Variation of 3-D Solenoid Embedded Inductors for Wireless Communication Systems

Characteristic Variation of 3-D Solenoid Embedded Inductors for Wireless Communication Systems Characteristic Variation of 3-D Solenoid Embedded Inductors for Wireless Communication Systems Dongwook Shin, Changhoon Oh, Kilhan Kim, and Ilgu Yun The characteristic variation of 3-dimensional (3-D)

More information

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India, ISSN 2319-8885 Vol.03,Issue.30 October-2014, Pages:5968-5972 www.ijsetr.com Low Power and Area-Efficient Carry Select Adder THANNEERU DHURGARAO 1, P.PRASANNA MURALI KRISHNA 2 1 PG Scholar, Dept of DECS,

More information

Crosstalk noise generated by parasitic inductances in System-on-Chip VLSI interconnects

Crosstalk noise generated by parasitic inductances in System-on-Chip VLSI interconnects HAIT Journal of Science and Engineering B, Volume x, Issue x, pp. xxx-xxx Copyright C 2007 Holon Institute of Technology Crosstalk noise generated by parasitic inductances in System-on-Chip VLSI interconnects

More information

A Novel Control Method for Input Output Harmonic Elimination of the PWM Boost Type Rectifier Under Unbalanced Operating Conditions

A Novel Control Method for Input Output Harmonic Elimination of the PWM Boost Type Rectifier Under Unbalanced Operating Conditions IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 16, NO. 5, SEPTEMBER 2001 603 A Novel Control Method for Input Output Harmonic Elimination of the PWM Boost Type Rectifier Under Unbalanced Operating Conditions

More information

Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction

Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction Bruce Tseng Faraday Technology Cor. Hsinchu, Taiwan Hung-Ming Chen Dept of EE National Chiao Tung U. Hsinchu, Taiwan April 14, 2008

More information

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Abstract Pseudo-exhaustive pattern generators for built-in self-test (BIST) provide high fault

More information

VLSI is scaling faster than number of interface pins

VLSI is scaling faster than number of interface pins High Speed Digital Signals Why Study High Speed Digital Signals Speeds of processors and signaling Doubled with last few years Already at 1-3 GHz microprocessors Early stages of terahertz Higher speeds

More information

ASPDAC Tutorial: Power, Timing & Signal Integrity in SoC designs Section II

ASPDAC Tutorial: Power, Timing & Signal Integrity in SoC designs Section II ASPDAC Tutorial: Power, Timing & Signal Integrity in SoC designs Section II Strategic CAD, Intel Labs Chandler AZ eli.chiprout chiprout@intel.com Section II: Modeling, noise, timing The goals of this section

More information

Development of a Switched-Capacitor DC DC Converter with Bidirectional Power Flow

Development of a Switched-Capacitor DC DC Converter with Bidirectional Power Flow IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: FUNDAMENTAL THEORY AND APPLICATIONS, VOL. 47, NO. 9, SEPTEMBER 2000 383 Development of a Switched-Capacitor DC DC Converter with Bidirectional Power Flow Henry

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Study On Two-stage Architecture For Synchronous Buck Converter In High-power-density Power Supplies title

Study On Two-stage Architecture For Synchronous Buck Converter In High-power-density Power Supplies title Study On Two-stage Architecture For Synchronous Buck Converter In High-power-density Computing Click to add presentation Power Supplies title Click to edit Master subtitle Tirthajyoti Sarkar, Bhargava

More information

PARALLELING of converter power stages is a wellknown

PARALLELING of converter power stages is a wellknown 690 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 13, NO. 4, JULY 1998 Analysis and Evaluation of Interleaving Techniques in Forward Converters Michael T. Zhang, Member, IEEE, Milan M. Jovanović, Senior

More information

Accurate Models for Spiral Resonators

Accurate Models for Spiral Resonators MITSUBISHI ELECTRIC RESEARCH LABORATORIES http://www.merl.com Accurate Models for Spiral Resonators Ellstein, D.; Wang, B.; Teo, K.H. TR1-89 October 1 Abstract Analytically-based circuit models for two

More information

About the High-Frequency Interferences produced in Systems including PWM and AC Motors

About the High-Frequency Interferences produced in Systems including PWM and AC Motors About the High-Frequency Interferences produced in Systems including PWM and AC Motors ELEONORA DARIE Electrotechnical Department Technical University of Civil Engineering B-dul Pache Protopopescu 66,

More information

On-Chip Inductance Modeling and Analysis

On-Chip Inductance Modeling and Analysis On-Chip Inductance Modeling and Analysis Kaushik Gala, ladimir Zolotov, Rajendran Panda, Brian Young, Junfeng Wang, David Blaauw Motorola Inc., Austin TX 78729 kaushik.gala@motorola.com Abstract With operating

More information

NEW WIRELESS applications are emerging where

NEW WIRELESS applications are emerging where IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 709 A Multiply-by-3 Coupled-Ring Oscillator for Low-Power Frequency Synthesis Shwetabh Verma, Member, IEEE, Junfeng Xu, and Thomas H. Lee,

More information

On-Chip Decoupling Capacitor Optimization Using Architectural Level Prediction

On-Chip Decoupling Capacitor Optimization Using Architectural Level Prediction IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 3, JUNE 2002 319 On-Chip Decoupling Capacitor Optimization Using Architectural Level Prediction Mondira Deb Pant, Member,

More information