S.P. Vingron Switching Theory

Size: px
Start display at page:

Download "S.P. Vingron Switching Theory"

Transcription

1 S.P. Vingron Switching Theory

2 Springer-Verlag Berlin Heidelberg GmbH Engineering ONLINE LlBRARY

3 Shimon P. Vingron Switching Theory Insight through Predicate Logic With 323 Figures, Springer

4 Dr. Shimon P. Vingron formerly head of the Department of Theoretical Systems AnaIysis, Institute for Information Processing, Austrian Academy of Sciences, Vienna Barenkogelweg Hinterbriihl Austria ISBN Library of Congress Cataloging-in-Publication-Data Vingron, Shimon Peter, Switching theory : insight through predicate logic I Shimon Peter Vingron. p.cm. ISBN ISBN (ebook) DOI / Switching theory. 2. Predicate (Logic) 1. Title. TK7868.S9VS S'372--dc22 This work is subject to copyright. AU rights are reserved, whether the whole or part of the material is concerned, specifically the rights of translation, reprinting, reuse of illustrations, recitations, broadcasting, reproduction on microfilm or in any other way, and storage in data banks. Duplicat ion of this publication or parts thereof is permitted only under the provisions of the German copyright Law of September 9, 1965, in its current version, and permission for use must always be obtained from Springer-Verlag Berlin Heidelberg GmbH. Violations are liable for prosecution under the German Copyright Law. Springer-Vedag Berlin Heidelberg 2004 Originally published by Springer-Verlag Berlin Heidelberg New York in 2004 Softcover reprint ofthe hardcover Ist edition 2004 The use of general descriptive names, registered names trademarks, etc. in this publication does not imply, even in the absence of a specific statement, that such names are exempt from the relevant protective laws and regulations and therefore free for general use. Typesetting: camera-ready by author Cover design: deblik Berlin Printed on acid free paper /M - S O

5 Preface About the subject. Switching Theory is an applied science used in analysing, designing, and testing switching circuits irrespective of the technology in which they are realised. Its origin goes back to Shannon's [1938J remarkable paper in which he introduced 'propositional logic' to describe switching circuits. This was the first time that propositionallogic was applied to an engineering problem. The method proved successful but was restricted to circuits that had no memorising ability, so-called combinational circuits. It was Huffman [1954J who, in his doctor's thesis, showed how to analyse and design circuits that had a memorising ability, so-called sequential circuits. At the heart of his breakthrough was a simple way of specifying a sequential circuit by a table he called a 'fiow table '. It enabled a sequential circuit to be reduced to a number of combinational circuits, each of which could then be handled by Shannon's methods. About this book. Just as propositionallogic was superseded in mathematics by predicate logic, developed by Frege [1879], this is also done here for Switching Theory. You will notice that with the use of predicate logic proofs and derivat ions become possible that hitherto remained out of reach. Huffman's flow table is used to develop a new tree representation of a sequential circuit, a so-called word-recognition tree, by which a sequential circuit is not reduced to a number of combinational circuits but, rather, calculated directly as interconnected latches (memory circuits). This approach required a new look at the theory of latches. But most importantly, the formidable problems connected with the so-called 'encoding of the internal state.'j' of an asynchronous sequential circuit are bypassed. Pointing out what's new. The many new concepts, proofs, and methods incorporated into this book are not explicitly listed. Those chapters marked by an asterisk (*) present new concepts. Those sections marked by an asterisk (*) at least contain new concepts, proofs, or methods. Whom the book is for. The book is written for the theoretically inclined practising engineer working in the field of digital circuit design, for the scientist concerned with developing methods and algorithms for designing digital ('in'nits, and for the student feeling the nccd to supplement a course in Switching Theory or Logic Design. While Division One is an undergraduatelevel introduction, the remaining parts constitute a sound basis for a graduate course in Switching Theory or Logic Design.

6 Expressing my gratitude. When I retired, my wife, Dora, told me she didn't want me hanging around the house not knowing what to do with myself. So I went into my study, and took up the research (interrupted more than a decade earlier) on what was to become this book. This project, that I had thought would take two to possibly three years, engulfed me for eight. During these years, my wife unfailingly encouraged me in the many difficult phases of the work. My gratitude is only surpassed by my love to her. Deep thanks are due to my friend Dr. Oliver König who worked through the whole manuscript and whose formidable and creative criticism I simultaneously feared and awaited. Many major improvements are his due. In many ways, this book is a tribute to my former teacher Prof. emeritus Dr. Karl Heinz Fasol. A elosing remark. I should consider it a compliment if this book were to stimulate comment, or argument, or contradiction. I should consider it a success if it were to help start a process of questioning established views in a subject which, although having lost some of its former vigour, has lost nothing of its importance.

7 It is not given to you to compleie the iask, bnt Y01l are not freed from starting. Rabbi Tarphon Ethics of the Fathers iij21

8 Contents Division One Fundamental Concepts 1 Outline and Basics Specifying a Circuit in Plain Prose Diagram of Successive Events Table of Asserted Events *1) Logic Variables and Logic Formulas * Drawing the Circuits Sequential Circuits * Verifying a Circuit * 12 2 Switching Devices Pneumatic Valves Electric Relays CMOS Transistor Circuits 23 3 Functions Ordered Pairs Spealdng of Functions Switching Functions 33 4 Logic Functions and Gates 4.1 Elementary Switching Functions 4.2 Positive versus Negative Logic * 4.3 Elementary Logic Functions * The Basic Gates * Derived Gates * 43 5 Synthesis and Duality Minterm Functions & Minterms * 5.2 Maxterm Functions & Maxterms * 5.3 Synthesis via Partial Outputs * 5.4 Duality * 53 6 Karnaugh Maps Speaking of Sets Introducing the Karnaugh Map * 6.3 Karnaugh Maps for multiple Inputs 6.4 Karnaugh Sets * ) Those Sections or Chapters marked by an asterisk (*) contain new concepts, proofs, or methods.

9 7 Utilising Karnaugh Maps Specifying Switching Circuits in K-maps * Obtaining Disjunctive Formulas Obtaining Conjunctive Formulas * Logically Equivalent Expressions * Logical Implications * K-maps of Dual Functions * 74 Division Two Logic 8 Tautologies Logic Expressions Truth Tables Speaking of Tautologies Replacement versus Substitution Logic Reasoning 86 9 Propositional Logic Axiomatic Approach to Propositional Logic Complementation IMPLICATION and NEGATION DeMorgan's Theorems Commutativity of AND and OR Logic Implications of IMPLICATIONS Formulas with a single Variable Summary of Theorems Commutative and Associative Laws * Single-Variable Formulas Distributive Laws * Generalised DeMorgan Theorems Basic Theorems on AND, OR and NOT Algebraic Proofs Min- and Maxterms are Complementary * Disjunction of all Minterms * Conjunction of two Mintermms * Maxterm as the Disjunction of Minterms * Minterm AND/OR Maxterm * Solving a System of Logic Equations * DeMorgan on DeMorgan On Predicate Logic Inside a Proposition Symbolic Notation of Propositions 122

10 12.3 The Switching Algebra Connection * Quantifiers Quantification and Replication * Free and Bound Variables Predicate Logic Axioms and Rules of Switching Algebra * Theorems on Identity Theorems on Quantification 135 Division Three Combinational Circuits 14 Canonical Normal Forms An Overview Direct Derivation of Normal Forms * Shannon's Expansion Theorems * Shannon's Expansion to Normal Forms Shegalkin Normal Form An Overview Developing the Shegalkin Polynomial * Shegalkin Coefficients On Combinations of Input Variables Dual Shegalkin Polynomial Necessary and Sufficient Connectives Synthesis Examples Multiplexers and Demultiplexers 16.2 Binary Coded Decimal Digits Priority Decoders Comparators 166 Concepts Old and New Multiple Events* Karnaugh Sets Revisited * 17.3 Generalised Minterms * 17.4 Generalised Maxterms * 17.5 Partitions and Equivalents 17.6 Prime Sets Covers Inclusions and Exclusions * Evaluation Formulas * Minimisation Preliminaries Aspects of Minimisation Incomplete Specification 183

11 18.3 Prime Sets that cover Don't Cares Evaluation Formulas for Don't Cares * Minimisation by K-maps Algebraic Minimisation Minimisation-an Excerp On Adjacent K-Sets and their Consensus * Formalising Adjacency and Consensus Finding the Full Cover * Finding Minimal Covers * Minimisation considering Don't Cares * Reduced Karnaugh Maps Reducing the Dimension of a K-map K-maps within K-maps * Evaluating Reduced K-maps * Specification by Map-Entered Variables * NOT-AND and NOT-OR Miscellaneous Theorems Two-Level Circuits Multilevel NOT-AND Circuits 21.4 Multilevel NOT-OR Circuits Composition of Circuits * 22.1 The Basic Concept Catenation Choosing a Generic Function Hinge Sets Composing a Circuit: Example Composing a Circuit: Example Composing a Circuit: Example Hazards Hazards on Stationary Signals Single-Input Hazards Gates and Delays Detecting Single-Input Hazards Hazard-Detecting Formulas Hazards in a NOT-AND Circuit Hazards in a Composed Circuit 251 Division Four Latches 24 Memorising by Feedback What is Memorisation? The Feedback Model of Memory 258

12 24.3 Transient versus Stationary Behaviour Latches without Feedback Is a Delay in the Feedback necessary? * Basic Theory of Latches Wh at is a Latch? * Specifying Latches in Reduced K-maps * Evaluation Formulas and Generic Latches * An Evaluation Example Identical and Isomorphie Latches * Inverting a Sampie Memory-Circuit General Negation of Latches * Optimised Latches Designing Minimised Latches * 26.2 A Minimised Latch-an Example 26.3 Designing Hazard-Free Latches * 26.4 A Hazard-Free Latch-an Example 27 Elementary Latches Classification of Elementary Latches Two Systems of Symbolic Latches * Symbols with Complementary Outputs * Symbolic Latches with a Common Event * Standard Symbols for Elementary Latches Composition of Latches * Catenation Diagram for Latches Composing the D-Latch using a SR-Latch Checking for a Hazard-Free Solution Avoiding Undesirable Input-Events 305 Division Five Sequential Circuits with Continuously Read Inputs 29 Automata and Programs * Continuously reading A utomata 29.2 Developing an Example Flow Table 29.3 The Word-Recognition Tree Evaluating a Sequential Circuit Word-Recognition Tables * 30.1 Developing Word-Recognition Tables 30.2 Primitive Determinateness General Determinateness

13 30.4 Evaluation and Partial Mappings 30.5 Testing for General Determinateness Catenation Model * The Moore and Mealy Models Catenation Model - Feedback and Delays Moore and Mealy Flow Tables Merging Moore and Mealy Flow Tables From Mealy to Moore Flow Tables The Composite Word-Recognition Table Toggle Circuits T-Flipflop - its Feedback Design T-Flipflop - its Composition JK-Flipflop - its Feedback Design JK-Flipflop - its Composition Triggering and Synchronising Triggering Sampling Synchronising Verifying a Logic Design * A Verification-DSE for the D-Latch A Verification-DSE for a given Example Grafting a Verification Tree Discussing Huffman's Theory Basics of Huffman's Synthesis Procedure * Delay and Feedback State Encoding and Circuit Realisation Problems with Arbitrary Binary Encodings Race Analysis in the Catenation Model * State Encoding Techniques Introducing Multiple State-Transitions Adding Unstable States Intuitively On the Number of Adjacent Encodings One-Hot Code Safe but Slow standardised Encodings Safe and Fast standardised Encodings Number of Required State Variables 410 Bibliography 411 Index 415

Architecture Design and Validation Methods

Architecture Design and Validation Methods Architecture Design and Validation Methods Springer-Verlag Berlin Heidelberg GmbH Egon Börger (Ed.) Architecture Design and Validation Methods With 175 Figures, Springer Editor Prof. Dr. Egon Börger Universita

More information

Advanced Electronic Circuits

Advanced Electronic Circuits U. Tietze Ch. Schenk Advanced Electronic Circuits Wi th the Assistance of E. Schmid With 570 Figures Springer-Verlag Berlin Heidelberg New York 1978 Dr.-Ing. Ulrich Tietze Dr.-Ing. Christoph Schenk Universitat

More information

Modeling Manufacturing Systems. From Aggregate Planning to Real-Time Control

Modeling Manufacturing Systems. From Aggregate Planning to Real-Time Control Modeling Manufacturing Systems From Aggregate Planning to Real-Time Control Springer-Verlag Berlin Heidelberg GmbH Paolo Brandimarte. Agostino Villa (Eds.) Modeling Manufacturing Systems From Aggregate

More information

Studies in Empirical Economics

Studies in Empirical Economics Studies in Empirical Economics Aman Ullah (Ed.) Semiparametric and Nonparametric Econometrics 1989. VII, 172 pp. Hard cover DM 120, ISBN 3-7908-0418-5 Walter Kramer (Ed.) Econometrics of Structural Change

More information

MATLAB Guide to Finite Elements

MATLAB Guide to Finite Elements MATLAB Guide to Finite Elements Peter I. Kattan MATLAB Guide to Finite Elements An Interactive Approach Second Edition With 108 Figures and 25 Tables Peter I. Kattan, PhD P.O. BOX 1392 Amman 11118 Jordan

More information

Design for Innovative Value Towards a Sustainable Society

Design for Innovative Value Towards a Sustainable Society Design for Innovative Value Towards a Sustainable Society Mitsutaka Matsumoto Yasushi Umeda Keijiro Masui Shinichi Fukushige Editors Design for Innovative Value Towards a Sustainable Society Proceedings

More information

Digital. Design. R. Ananda Natarajan B C D

Digital. Design. R. Ananda Natarajan B C D Digital E A B C D 0 1 2 3 4 5 6 Design 7 8 9 10 11 12 13 14 15 Y R. Ananda Natarajan Digital Design Digital Design R. ANANDA NATARAJAN Professor Department of Electronics and Instrumentation Engineering

More information

UNIT-IV Combinational Logic

UNIT-IV Combinational Logic UNIT-IV Combinational Logic Introduction: The signals are usually represented by discrete bands of analog levels in digital electronic circuits or digital electronics instead of continuous ranges represented

More information

Application of Evolutionary Algorithms for Multi-objective Optimization in VLSI and Embedded Systems

Application of Evolutionary Algorithms for Multi-objective Optimization in VLSI and Embedded Systems Application of Evolutionary Algorithms for Multi-objective Optimization in VLSI and Embedded Systems M.C. Bhuvaneswari Editor Application of Evolutionary Algorithms for Multi-objective Optimization in

More information

Simulation by Bondgraphs

Simulation by Bondgraphs Jean U. Thoma Simulation by Bondgraphs Introduction to a Graphical Method Springer-Verlag Berlin Heidelberg New York London Paris Tokyo Hong Kong 1990 Professor Dr. Jean Ulrich Thoma Dept. of Mechanical

More information

Cognitive Systems Monographs

Cognitive Systems Monographs Cognitive Systems Monographs Volume 9 Editors: Rüdiger Dillmann Yoshihiko Nakamura Stefan Schaal David Vernon Heiko Hamann Space-Time Continuous Models of Swarm Robotic Systems Supporting Global-to-Local

More information

Digital Applications (CETT 1415) Credit: 4 semester credit hours (3 hours lecture, 4 hours lab) Prerequisite: CETT 1403 & CETT 1405

Digital Applications (CETT 1415) Credit: 4 semester credit hours (3 hours lecture, 4 hours lab) Prerequisite: CETT 1403 & CETT 1405 Digital Applications () Credit: 4 semester credit hours (3 hours lecture, 4 hours lab) Prerequisite: CETT 1403 & CETT 1405 Course Description This course covers digital techniques and numbering systems,

More information

Combinational logic. ! Regular logic: multiplexers, decoders, LUTs and FPGAs. ! Switches, basic logic and truth tables, logic functions

Combinational logic. ! Regular logic: multiplexers, decoders, LUTs and FPGAs. ! Switches, basic logic and truth tables, logic functions Combinational logic! Switches, basic logic and truth tables, logic functions! Algebraic expressions to gates! Mapping to different gates! Discrete logic gate components (used in labs and 2)! Canonical

More information

Data Assimilation: Tools for Modelling the Ocean in a Global Change Perspective

Data Assimilation: Tools for Modelling the Ocean in a Global Change Perspective Data Assimilation: Tools for Modelling the Ocean in a Global Change Perspective NATO ASI Series Advanced Science Institutes Series A series presenting the results of activities sponsored by the NA TO Science

More information

Studies in Economic Ethics and Philosophy

Studies in Economic Ethics and Philosophy Studies in Economic Ethics and Philosophy Series Editor Peter Koslowski Editorial Board F. Neil Brady George Brenkert Geoffrey Brennan James M. Buchanan Thomas Donaldson Richard De George Jon Elster Amitai

More information

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC LOGIC Logic is a branch of math that tries to look at problems in terms of being either true or false. It will use a set of statements to derive new true

More information

Matthias Pilz Susanne Berger Roy Canning (Eds.) Fit for Business. Pre-Vocational Education in European Schools RESEARCH

Matthias Pilz Susanne Berger Roy Canning (Eds.) Fit for Business. Pre-Vocational Education in European Schools RESEARCH Fit for Business Matthias Pilz Susanne Berger Roy Canning (Eds.) Fit for Business Pre-Vocational Education in European Schools RESEARCH Editors Matthias Pilz, Susanne Berger, Cologne, Germany Roy Canning

More information

Digital Electronic Concepts

Digital Electronic Concepts Western Technical College 10662137 Digital Electronic Concepts Course Outcome Summary Course Information Description Career Cluster Instructional Level Total Credits 4.00 Total Hours 108.00 This course

More information

Objective Questions. (a) Light (b) Temperature (c) Sound (d) all of these

Objective Questions. (a) Light (b) Temperature (c) Sound (d) all of these Objective Questions Module 1: Introduction 1. Which of the following is an analog quantity? (a) Light (b) Temperature (c) Sound (d) all of these 2. Which of the following is a digital quantity? (a) Electrical

More information

Dynamics of Fibre Formation and Processing

Dynamics of Fibre Formation and Processing Dynamics of Fibre Formation and Processing Roland Beyreuther Harald Brünig Dynamics of Fibre Formation and Processing Modelling and Application in Fibre and Textile Industry With 171 Figures 123 Prof.

More information

Digital Logic Circuits

Digital Logic Circuits Digital Logic Circuits Let s look at the essential features of digital logic circuits, which are at the heart of digital computers. Learning Objectives Understand the concepts of analog and digital signals

More information

Combinational Logic Design CH002

Combinational Logic Design CH002 Combinational Logic Design CH002 Figure 2.1 Circuit as a black box with inputs, outputs, and specifications Figure 2.2 Elements and nodes Figure 2.3 Combinational logic circuit Figure 2.4 Two OR implementations

More information

Lecture Notes in Artificial Intelligence. Lecture Notes in Computer Science

Lecture Notes in Artificial Intelligence. Lecture Notes in Computer Science Lecture Notes in Artificial Intelligence 897 Subseries of Lecture Notes in Computer Science Edited by J. G. Carbonell and J. Siekmann Lecture Notes in Computer Science Edited by G. Goos, J. Hartmanis and

More information

Index. Cadden, W. J., 128 Caelingeart, P., 55, 57 Caldwell. S. H Absorption laws, 20, 29 Adjacency. diagram, 182. SIVE -NOR expressions, 53

Index. Cadden, W. J., 128 Caelingeart, P., 55, 57 Caldwell. S. H Absorption laws, 20, 29 Adjacency. diagram, 182. SIVE -NOR expressions, 53 Index Absorption laws, 20, 29 Adjacency column, 292 diagram, 182 map, 186, 293 output, 292 row, 292 Adjacent term, 81 Adjustable logic network, 65 Akers, S. B., Jr., 57 Algebraic determination of minimal

More information

Springer Series on. Signals and Communication Technology

Springer Series on. Signals and Communication Technology Springer Series on Signals and Communication Technology Signals and Communication Technology Functional Structures in Networks AMLn A Language for Model Driven Development of Telecom Systems T. Muth ISBN

More information

ZEW Economic Studies. Publication Series of the Centre for European Economic Research (ZEW), Mannheim, Germany

ZEW Economic Studies. Publication Series of the Centre for European Economic Research (ZEW), Mannheim, Germany ZEW Economic Studies Publication Series of the Centre for European Economic Research (ZEW), Mannheim, Germany ZEW Economic Studies Vol. 1: O. Hohmeyer, K. Rennings (Eds.) Man-Made Climate Change Economic

More information

Lindenmayer Systems. G. Rozenberg A. Salomaa (Eds.) Impacts on Theoretical Computer Science, Computer Graphics, and Developmental Biology

Lindenmayer Systems. G. Rozenberg A. Salomaa (Eds.) Impacts on Theoretical Computer Science, Computer Graphics, and Developmental Biology G. Rozenberg A. Salomaa (Eds.) Lindenmayer Systems Impacts on Theoretical Computer Science, Computer Graphics, and Developmental Biology With 116 Figures Springer-Verlag Berlin Heidelberg GmbH Grzegorz

More information

U. Lindemann (Ed.) Human Behaviour in Design

U. Lindemann (Ed.) Human Behaviour in Design U. Lindemann (Ed.) Human Behaviour in Design Springer-Verlag Berlin Heidelberg GmbH Engineering ONLINE LIBRARY http://www.springer.de/engine/ Udo Lindemann (Ed.) Human Behaviour in Design Individuals,

More information

COURSE LEARNING OUTCOMES AND OBJECTIVES

COURSE LEARNING OUTCOMES AND OBJECTIVES COURSE LEARNING OUTCOMES AND OBJECTIVES A student who successfully fulfills the course requirements will have demonstrated: 1. an ability to analyze and design CMOS logic gates 1-1. convert numbers from

More information

Subject: Analog and Digital Electronics Code:15CS32

Subject: Analog and Digital Electronics Code:15CS32 Subject: Analog and Digital Electronics Code:15CS32 Syllabus: The Basic Gates : Review of Basic Logic gates, Positive and Negative Logic, Introduction to HDL. Combinational Logic Circuits:Sum-of-Products

More information

Chapter 3 Digital Logic Structures

Chapter 3 Digital Logic Structures Chapter 3 Digital Logic Structures Transistor: Building Block of Computers Microprocessors contain millions of transistors Intel Pentium 4 (2): 48 million IBM PowerPC 75FX (22): 38 million IBM/Apple PowerPC

More information

Graduate Texts in Mathematics. Editorial Board. F. W. Gehring P. R. Halmos Managing Editor. c. C. Moore

Graduate Texts in Mathematics. Editorial Board. F. W. Gehring P. R. Halmos Managing Editor. c. C. Moore Graduate Texts in Mathematics 49 Editorial Board F. W. Gehring P. R. Halmos Managing Editor c. C. Moore K. W. Gruenberg A.J. Weir Linear Geometry 2nd Edition Springer Science+Business Media, LLC K. W.

More information

Analysis procedure. To obtain the output Boolean functions from a logic diagram, proceed as follows:

Analysis procedure. To obtain the output Boolean functions from a logic diagram, proceed as follows: Combinational Logic Logic circuits for digital systems may be combinational or sequential. combinational circuit consists of input variables, logic gates, and output variables. 1 nalysis procedure To obtain

More information

Digital Applications (CETT 1415) Credit: 4 semester credit hours (3 hours lecture, 4 hours lab) Prerequisite: CETT 1403 & CETT 1405

Digital Applications (CETT 1415) Credit: 4 semester credit hours (3 hours lecture, 4 hours lab) Prerequisite: CETT 1403 & CETT 1405 Digital Applications (CETT 1415) Credit: 4 semester credit hours (3 hours lecture, 4 hours lab) Prerequisite: CETT 1403 & CETT 1405 Course Description This course covers digital techniques and numbering

More information

Offshore Energy Structures

Offshore Energy Structures Offshore Energy Structures Madjid Karimirad Offshore Energy Structures For Wind Power, Wave Energy and Hybrid Marine Platforms 1 3 ISBN 978-3-319-12174-1 ISBN 978-3-319-12175-8 (ebook) DOI 10.1007/978-3-319-12175-8

More information

Communications in Computer and Information Science 85

Communications in Computer and Information Science 85 Communications in Computer and Information Science 85 Albert Fleischmann Detlef Seese Christian Stary (Eds.) S-BPM ONE Setting the Stage for Subject-Oriented Business Process Management First International

More information

Dry Etching Technology for Semiconductors. Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi

Dry Etching Technology for Semiconductors. Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi Dry Etching Technology for Semiconductors Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi Kazuo Nojiri Dry Etching Technology for Semiconductors Kazuo Nojiri Lam Research Co., Ltd. Tokyo,

More information

Design of Logic Systems

Design of Logic Systems Design of Logic Systems Design of Logic Systems Second edition D. Lewin Formerly Professor of Computer Science and Information Engineering, University of Sheffield D. Protheroe Lecturer in Electronic Engineering,

More information

Exercises: Fundamentals of Computer Engineering 1 PAGE: 1

Exercises: Fundamentals of Computer Engineering 1 PAGE: 1 Exercises: Fundamentals of Computer Engineering PAGE: Exercise Minimise the following using the laws of Boolean algebra. f = a + ab + ab.2 f ( ) ( ) ( ) 2 = c bd + bd + ac b + d + cd a + b + ad( b + c)

More information

StraBer Wahl Graphics and Robotics

StraBer Wahl Graphics and Robotics StraBer Wahl Graphics and Robotics Wolfgang StrafSer Friedrich Wahl Editors Graphics and Robotics With 128 Figures, some in Colour, Springer Prof. Dr.-lng. Wolfgang StraBer Wilhelm-Schickard-lnstitut fur

More information

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design PH-315 COMINATIONAL and SEUENTIAL LOGIC CIRCUITS Hardware implementation and software design A La Rosa I PURPOSE: To familiarize with combinational and sequential logic circuits Combinational circuits

More information

Health Information Technology Standards. Series Editor: Tim Benson

Health Information Technology Standards. Series Editor: Tim Benson Health Information Technology Standards Series Editor: Tim Benson Tim Benson Principles of Health Interoperability HL7 and SNOMED Second Edition Tim Benson Abies Ltd Hermitage, Thatcham Berkshire UK ISBN

More information

Computer-Aided Production Management

Computer-Aided Production Management Asbj0rn Rolstadas (Ed.) Computer-Aided Production Management With 169 Figures Springer-Verlag Berlin Heidelberg New York London Paris Tokyo Professor Dr. Asbjern Ro1stadas Production Engineering Laboratory

More information

Introduction to Computational Optimization Models for Production Planning in a Supply Chain

Introduction to Computational Optimization Models for Production Planning in a Supply Chain Introduction to Computational Optimization Models for Production Planning in a Supply Chain Stefan Voß David L.Woodruff Introduction to Computational Optimization Models for Production Planning in a Supply

More information

Sustainable Development

Sustainable Development Sustainable Development Anne E. Egelston Sustainable Development A History 123 Dr. Anne E. Egelston Government Department Lone Star College-Montgomery Conroe, TX 77384 USA Quotations from Reimann (2006)

More information

UNIT-III ASYNCHRONOUS SEQUENTIAL CIRCUITS TWO MARKS 1. What are secondary variables? -present state variables in asynchronous sequential circuits 2. What are excitation variables? -next state variables

More information

2 Logic Gates THE INVERTER. A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs.

2 Logic Gates THE INVERTER. A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs. 2 Logic Gates A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs. THE INVERTER The inverter (NOT circuit) performs the operation called inversion

More information

EC O4 403 DIGITAL ELECTRONICS

EC O4 403 DIGITAL ELECTRONICS EC O4 403 DIGITAL ELECTRONICS Asynchronous Sequential Circuits - II 6/3/2010 P. Suresh Nair AMIE, ME(AE), (PhD) AP & Head, ECE Department DEPT. OF ELECTONICS AND COMMUNICATION MEA ENGINEERING COLLEGE Page2

More information

Advances in Computer Vision and Pattern Recognition

Advances in Computer Vision and Pattern Recognition Advances in Computer Vision and Pattern Recognition For further volumes: http://www.springer.com/series/4205 Marco Alexander Treiber Optimization for Computer Vision An Introduction to Core Concepts and

More information

Pierre-Yves Henin (Ed.) Advances in Business Cycle Research

Pierre-Yves Henin (Ed.) Advances in Business Cycle Research Pierre-Yves Henin (Ed.) Advances in Business Cycle Research Springer-V erlag Berlin Heidelberg GmbH Pierre-Yves Henin (Ed.) Advances in Business Cycle Research With Application to the French and US Economies

More information

Unit level 4 Credit value 15. Introduction. Learning Outcomes

Unit level 4 Credit value 15. Introduction. Learning Outcomes Unit 20: Unit code Digital Principles T/615/1494 Unit level 4 Credit value 15 Introduction While the broad field of electronics covers many aspects, it is digital electronics which now has the greatest

More information

EECS 150 Homework 4 Solutions Fall 2008

EECS 150 Homework 4 Solutions Fall 2008 Problem 1: You have a 100 MHz clock, and need to generate 3 separate clocks at different frequencies: 20 MHz, 1kHz, and 1Hz. How many flip flops do you need to implement each clock if you use: a) a ring

More information

Studies in Systems, Decision and Control

Studies in Systems, Decision and Control Studies in Systems, Decision and Control Volume 159 Series editor Janusz Kacprzyk, Polish Academy of Sciences, Warsaw, Poland e-mail: kacprzyk@ibspan.waw.pl The series Studies in Systems, Decision and

More information

ANALOG CIRCUITS AND SIGNAL PROCESSING

ANALOG CIRCUITS AND SIGNAL PROCESSING ANALOG CIRCUITS AND SIGNAL PROCESSING Series Editors Mohammed Ismail, The Ohio State University Mohamad Sawan, École Polytechnique de Montréal For further volumes: http://www.springer.com/series/7381 Yongjian

More information

Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 1 Logistics

Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 1 Logistics Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 1 Logistics Egemen K. Çetinkaya Egemen K. Çetinkaya Department of Electrical & Computer Engineering Missouri University of Science and

More information

Progress in Computer Science and Applied Logic

Progress in Computer Science and Applied Logic Progress in Computer Science and Applied Logic Volume 25 Editor-in-Chief Erich Grädel, Aachen, Germany Associate Editors Eric Allender, Piscataway, NJ, USA Mikołaj Bojańczyk, Warsaw, Poland Sam Buss, San

More information

Asst. Prof. Thavatchai Tayjasanant, PhD. Power System Research Lab 12 th Floor, Building 4 Tel: (02)

Asst. Prof. Thavatchai Tayjasanant, PhD. Power System Research Lab 12 th Floor, Building 4 Tel: (02) 2145230 Aircraft Electricity and Electronics Asst. Prof. Thavatchai Tayjasanant, PhD Email: taytaycu@gmail.com aycu@g a co Power System Research Lab 12 th Floor, Building 4 Tel: (02) 218-6527 1 Chapter

More information

EXPERIMENT NO 1 TRUTH TABLE (1)

EXPERIMENT NO 1 TRUTH TABLE (1) EPERIMENT NO AIM: To verify the Demorgan s theorems. APPARATUS REQUIRED: THEORY: Digital logic trainer and Patch cords. The digital signals are discrete in nature and can only assume one of the two values

More information

TECHNOLOGY, INNOVATION, and POLICY 3. Series of the Fraunhofer Institute for Systems and Innovation Research (lsi)

TECHNOLOGY, INNOVATION, and POLICY 3. Series of the Fraunhofer Institute for Systems and Innovation Research (lsi) TECHNOLOGY, INNOVATION, and POLICY 3 Series of the Fraunhofer Institute for Systems and Innovation Research (lsi) Guido Reger Ulrich Schmoch (Eds.) Organisation of Science and Technology at the Watershed

More information

CS302 - Digital Logic Design Glossary By

CS302 - Digital Logic Design Glossary By CS302 - Digital Logic Design Glossary By ABEL : Advanced Boolean Expression Language; a software compiler language for SPLD programming; a type of hardware description language (HDL) Adder : A digital

More information

Management of Recreation and Nature Based Tourism in European Forests

Management of Recreation and Nature Based Tourism in European Forests Management of Recreation and Nature Based Tourism in European Forests Ulrike Pröbstl Veronika Wirth Birgit Elands Simon Bell Editors Management of Recreation and Nature Based Tourism in European Forests

More information

Lecture Notes in Computer Science 2500 Edited by G. Goos, J. Hartmanis, and J. van Leeuwen

Lecture Notes in Computer Science 2500 Edited by G. Goos, J. Hartmanis, and J. van Leeuwen Lecture Notes in Computer Science 2500 Edited by G. Goos, J. Hartmanis, and J. van Leeuwen 3 Berlin Heidelberg New York Barcelona Hong Kong London Milan Paris Tokyo Erich Grädel Wolfgang Thomas Thomas

More information

Lecture Notes in Applied and Computational Mechanics

Lecture Notes in Applied and Computational Mechanics Lecture Notes in Applied and Computational Mechanics Volume 28 Series Editors Prof. Dr.-Ing. Friedrich Pfeiffer Prof. Dr.-Ing. Peter Wriggers Lecture Notes in Applied and Computational Mechanics Edited

More information

Paper No. Name of the Paper Theory marks Practical marks Periods per week Semester-I I Semiconductor

Paper No. Name of the Paper Theory marks Practical marks Periods per week Semester-I I Semiconductor Swami Ramanand Teerth Marathwada University, Nanded B. Sc. First Year Electronics Syllabus Semester system (To be implemented from Academic Year 2009-10) Name of the Theory marks Practical marks Periods

More information

JEFFERSON COLLEGE COURSE SYLLABUS ETC255 INTRODUCTION TO DIGITAL CIRCUITS. 6 Credit Hours. Prepared by: Dennis Eimer

JEFFERSON COLLEGE COURSE SYLLABUS ETC255 INTRODUCTION TO DIGITAL CIRCUITS. 6 Credit Hours. Prepared by: Dennis Eimer JEFFERSON COLLEGE COURSE SYLLABUS ETC255 INTRODUCTION TO DIGITAL CIRCUITS 6 Credit Hours Prepared by: Dennis Eimer Revised Date: August, 2007 By Dennis Eimer Division of Technology Dr. John Keck, Dean

More information

B.I. Dundas M. Levine P.A. Østvær O. Röndigs. Motivic Homotopy Theory. Lectures at a Summer School in Nordfjordeid, Norway, August 2002 ABC

B.I. Dundas M. Levine P.A. Østvær O. Röndigs. Motivic Homotopy Theory. Lectures at a Summer School in Nordfjordeid, Norway, August 2002 ABC B.I. Dundas M. Levine P.A. Østvær O. Röndigs V. Voevodsky Motivic Homotopy Theory Lectures at a Summer School in Nordfjordeid, Norway, August 2002 ABC Bjørn Ian Dundas Department of Mathematics University

More information

Name: Class: Date: 1. As more electronic systems have been designed using digital technology, devices have become smaller and less powerful.

Name: Class: Date: 1. As more electronic systems have been designed using digital technology, devices have become smaller and less powerful. Name: Class: Date: DE Midterm Review 2 True/False Indicate whether the statement is true or false. 1. As more electronic systems have been designed using digital technology, devices have become smaller

More information

Hierarchy Process. The Analytic. Bruce L. Golden Edward A. Wasil Patrick T. Harker (Eds.) Applications and Studies

Hierarchy Process. The Analytic. Bruce L. Golden Edward A. Wasil Patrick T. Harker (Eds.) Applications and Studies Bruce L. Golden Edward A. Wasil Patrick T. Harker (Eds.) The Analytic Hierarchy Process Applications and Studies With Contributions by 1. M. Alexander, W D. Daniel Jr., 1. G. Dolan, L. P. Fatti, B. L.

More information

Lecture Notes in Computer Science

Lecture Notes in Computer Science Lecture Notes in Computer Science Edited by G. Goos, J. Hartmanis and J. van Leeuwen 968 Advisory Board: W. Brauer D. Gries J. Stoer Nachum Dershowitz Naomi Lindenstrauss (Eds.) Conditional and Typed Rewriting

More information

Classification of Digital Circuits

Classification of Digital Circuits Classification of Digital Circuits Combinational logic circuits. Output depends only on present input. Sequential circuits. Output depends on present input and present state of the circuit. Combinational

More information

Modelling Non-Stationary Time Series

Modelling Non-Stationary Time Series Modelling Non-Stationary Time Series Palgrave Texts in Econometrics Series Editor: Kerry Patterson Titles include: Simon P. Burke and John Hunter MODELLING NON-STATIONARY TIME SERIES Michael P. Clements

More information

EEE 301 Digital Electronics

EEE 301 Digital Electronics EEE 301 Digital Electronics Lecture 1 Course Contents Introduction to number systems and codes. Analysis and synthesis of digital logic circuits: Basic logic functions, Boolean algebra,combinational logic

More information

*************************************************************************

************************************************************************* for EE 151 Circuits I, EE 153 Circuits II, EE 121 Introduction to Electronic Devices, and CpE 111 Introduction to Computer Engineering. Missouri University of Science and Technology Introduction The required

More information

Advances in Metaheuristic Algorithms for Optimal Design of Structures

Advances in Metaheuristic Algorithms for Optimal Design of Structures Advances in Metaheuristic Algorithms for Optimal Design of Structures ThiS is a FM Blank Page A. Kaveh Advances in Metaheuristic Algorithms for Optimal Design of Structures A. Kaveh School of Civil Engineering,

More information

Faster than Nyquist Signaling

Faster than Nyquist Signaling Faster than Nyquist Signaling Deepak Dasalukunte Viktor Öwall Fredrik Rusek John B. Anderson Faster than Nyquist Signaling Algorithms to Silicon 123 Deepak Dasalukunte Lantiq Bangalore, India Fredrik

More information

(CSC-3501) Lecture 6 (31 Jan 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

(CSC-3501) Lecture 6 (31 Jan 2008) Seung-Jong Park (Jay)   CSC S.J. Park. Announcement Seung-Jong Park (Jay) http://www.csc.lsu.edu/~sjpark Computer Architecture (CSC-3501) Lecture 6 (31 Jan 2008) 1 Announcement 2 1 Reminder A logic circuit is composed of: Inputs Outputs Functional specification

More information

Synthetic Aperture Radar

Synthetic Aperture Radar Synthetic Aperture Radar J. Patrick Fitch Synthetic Aperture Radar C.S. Burrus, Consulting Editor With 93 Illustrations Springer-Verlag New York Berlin Heidelberg London Paris Tokyo J. Patrick Fitch Engineering

More information

B.C.A 2017 DIGITAL ELECTRONICS BCA104T MODULE SPECIFICATION SHEET. Course Outline

B.C.A 2017 DIGITAL ELECTRONICS BCA104T MODULE SPECIFICATION SHEET. Course Outline Course Outline B.C.A 2017 DIGITAL ELECTRONICS BCA104T MODULE SPECIFICATION SHEET The purpose of the course is to teach principles of digital electronics. This course covers varieties of topics including

More information

Introduction to Fuzzy Logic using MATLAB

Introduction to Fuzzy Logic using MATLAB Introduction to Fuzzy Logic using MATLAB S. N. Sivanandam, S. Sumathi and S. N. Deepa Introduction to Fuzzy Logic using MATLAB With 304 Figures and 37 Tables 123 Dr. S.N. Sivanandam S. N. Deepa Professor

More information

Fan in: The number of inputs of a logic gate can handle.

Fan in: The number of inputs of a logic gate can handle. Subject Code: 17333 Model Answer Page 1/ 29 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

ANALOG CMOS FILTERS FOR VERY HIGH FREQUENCIES

ANALOG CMOS FILTERS FOR VERY HIGH FREQUENCIES ANALOG CMOS FILTERS FOR VERY HIGH FREQUENCIES THE KLUWER INTERNATIONAL SERIES IN ENGINEERING AND COMPUTER SCIENCE ANALOG CIRCUITS AND SIGNAL PROCESSING Consulting Editor Mohammed Ismail Ohio State University

More information

Representations of Integers as Sums of Squares

Representations of Integers as Sums of Squares Representations of Integers as Sums of Squares Emil Grosswald Representations of Integers as Sums of Squares Springer-Verlag New York Berlin Heidelberg Tokyo Emil Grosswald Temple University College of

More information

Technology Roadmapping for Strategy and Innovation

Technology Roadmapping for Strategy and Innovation Technology Roadmapping for Strategy and Innovation Martin G. Moehrle, Ralf Isenmann, and Robert Phaal (Eds.) Technology Roadmapping for Strategy and Innovation Charting the Route to Success ABC Editors

More information

ICT for the Next Five Billion People

ICT for the Next Five Billion People ICT for the Next Five Billion People Arnold Picot Josef Lorenz Editors ICT for the Next F Five Billion People Information and Communication for Sustainable Development Editors Prof. Dr. Dr. Arnold Picot

More information

Acoustic Emission Testing

Acoustic Emission Testing Acoustic Emission Testing Christian U. Grosse (Eds.) Acoustic Emission Testing 123 Christian U. Grosse Department of Non-destructive Testing and Monitoring Techniques Material Testing Institute MPA University

More information

Founding Editor Martin Campbell-Kelly, University of Warwick, Coventry, UK

Founding Editor Martin Campbell-Kelly, University of Warwick, Coventry, UK History of Computing Founding Editor Martin Campbell-Kelly, University of Warwick, Coventry, UK Series Editor Gerard Alberts, University of Amsterdam, Amsterdam, The Netherlands Advisory Board Jack Copeland,

More information

An Interactive Multimedia Introduction to Signal Processing

An Interactive Multimedia Introduction to Signal Processing An Interactive Multimedia Introduction to Signal Processing Springer-Verlag Berlin Heidelberg GmbH U. Karrenberg An Interactive Multimedia Introduction to Signal Processing With 240 Figures Springer Dipl.-Ing.

More information

CHAPTER 3 BASIC & COMBINATIONAL LOGIC CIRCUIT

CHAPTER 3 BASIC & COMBINATIONAL LOGIC CIRCUIT CHAPTER 3 BASIC & COMBINATIONAL LOGIC CIRCUIT CHAPTER CONTENTS 3.1 Introduction to Basic Gates 3.2 Analysing A Combinational Logic Circuit 3.3 Design A Combinational Logic Circuit From Boolean Expression

More information

Computer Automation in Manufacturing

Computer Automation in Manufacturing Computer Automation in Manufacturing Computer Automation in Manufacturing An introduction Thomas O. Boucher Department of Industrial Engineering Rutgers University Piscataway NJ USA SPRINGER-SCIENCE+BUSINESS

More information

Handbook of Engineering Acoustics

Handbook of Engineering Acoustics Handbook of Engineering Acoustics . Gerhard M uller Michael M oser Editors Handbook of Engineering Acoustics Editors Prof. Dr. Gerhard M uller Technische Universit at M unchen Lehrstuhl f ur Baumechanik

More information

Applied Technology and Innovation Management

Applied Technology and Innovation Management Applied Technology and Innovation Management Heinrich Arnold Michael Erner Peter Möckel Christopher Schläffer Editors Applied Technology and Innovation Management Insights and Experiences from an Industry-Leading

More information

Future-Oriented Technology Analysis

Future-Oriented Technology Analysis Future-Oriented Technology Analysis Cristiano Cagnin Michael Keenan Ron Johnston Fabiana Scapolo Rémi Barré Editors Future-Oriented Technology Analysis Strategic Intelligence for an Innovative Economy

More information

SpringerBriefs in Applied Sciences and Technology

SpringerBriefs in Applied Sciences and Technology SpringerBriefs in Applied Sciences and Technology SpringerBriefs present concise summaries of cutting-edge research and practical applications across a wide spectrum of fields. Featuring compact volumes

More information

Lecture Notes in Computer Science Edited by G. Goos, J. Hartmanis and J. van Leeuwen

Lecture Notes in Computer Science Edited by G. Goos, J. Hartmanis and J. van Leeuwen Lecture Notes in Computer Science 1528 Edited by G. Goos, J. Hartmanis and J. van Leeuwen 3 Berlin Heidelberg New York Barcelona Hong Kong London Milan Paris Singapore Tokyo Bart Preneel Vincent Rijmen

More information

COOP 2016: Proceedings of the 12th International Conference on the Design of Cooperative Systems, May 2016, Trento, Italy

COOP 2016: Proceedings of the 12th International Conference on the Design of Cooperative Systems, May 2016, Trento, Italy Antonella De Angeli Liam Bannon Patrizia Marti Silvia Bordin Editors COOP 2016: Proceedings of the 12th International Conference on the Design of Cooperative Systems, 23-27 May 2016, Trento, Italy COOP

More information

NO MORE MUDDLING THROUGH

NO MORE MUDDLING THROUGH NO MORE MUDDLING THROUGH No More Muddling Through Mastering Complex Projects in Engineering and Management by RAINER ZÜST Zürich, Switzerland and PETER TROXLER Rotterdam, The Netherlands A C.I.P. Catalogue

More information

Lecture Notes in Computational Science and Engineering 68

Lecture Notes in Computational Science and Engineering 68 Lecture Notes in Computational Science and Engineering 68 Editors Timothy J. Barth Michael Griebel David E. Keyes Risto M. Nieminen Dirk Roose Tamar Schlick Sidney Yip Tomás Diaz de la Rubia Editors Scientific

More information

Computer Supported Cooperative Work. Series Editor Richard Harper Cambridge, United Kingdom

Computer Supported Cooperative Work. Series Editor Richard Harper Cambridge, United Kingdom Computer Supported Cooperative Work Series Editor Richard Harper Cambridge, United Kingdom The CSCW series examines the dynamic interface of human nature, culture, and technology. Technology to support

More information

Broadband Networks, Smart Grids and Climate Change

Broadband Networks, Smart Grids and Climate Change Broadband Networks, Smart Grids and Climate Change Eli M. Noam Lorenzo Maria Pupillo Johann J. Kranz Editors Broadband Networks, Smart Grids and Climate Change Editors Eli M. Noam Columbia Business School

More information

Risk-Based Ship Design

Risk-Based Ship Design Risk-Based Ship Design Apostolos Papanikolaou (Ed.) Risk-Based Ship Design Methods, Tools and Applications Authored by Carlos Guedes Soares, Andrzej Jasionowski, Jørgen Jensen, Dag McGeorge, Apostolos

More information