A 285-fs rms Integrated Jitter Injection-Locked Ring PLL with Charge-Stored Complementary Switch Injection Technique

Size: px
Start display at page:

Download "A 285-fs rms Integrated Jitter Injection-Locked Ring PLL with Charge-Stored Complementary Switch Injection Technique"

Transcription

1 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.6, DECEMBER, 216 ISSN(Print) ISSN(Online) A 285-fs rms Integrated Jitter Injection-Locked Ring PLL with Charge-Stored Complementary Switch Injection Technique Sungwoo Kim, Sungchun Jang, Sung-Yong Cho, Min-Seong Choo, Gyu-Seob Jeong, Woorham Bae, and Deog-Kyoon Jeong Abstract An injection-locked ring phase-locked loop (ILRPLL) using a charge-stored complementary switch (CSCS) injection technique is described in this paper. The ILRPLL exhibits a wider lock range compared to other conventional ILRPLLs, owing to the improvement of the injection effect by the proposed CSCS. A frequency calibration loop and a device mismatch calibration loop force the frequency error to be zero to minimize jitter and reference spur. The prototype chip fabricated in 65-nm CMOS technology achieves a 285-fs rms integrated jitter at GHz from the reference clock of 52 MHz while consuming 7.16 mw. The figure-of-merit of the ILRPLL is db. Index Terms Charge-stored complementary switch (CSCS), frequency synthesizer, injection-locked oscillator (ILO), phase-locked loop (PLL) I. INTRODUCTION In high-performance clock synthesizers, an injectionlocked oscillator (ILO) has become an attractive solution because of its superior jitter performance compared to conventional integer-n phase-locked loops. However, the ILO commonly suffers from two issues [1]. First, the phase noise and the spur level performance degrades as Manuscript received Jun. 8, 216; accepted Sep. 26, 216 Inter-University Semiconductor Research Center (ISRC) and the School of Electrical and Computer Engineering, Seoul National University, Gwanak-ro, Gwanak-gu, Seoul, Korea ( ) dkjeong@snu.ac.kr the frequency mismatch f ERR between the free-running f OUT and the injection frequency f REF increases. Second, the injection lock range f LR becomes narrow for a large multiplication factor N. In other words, achieving low jitter becomes more challenging as N increases. To detect this frequency mismatch, a fine resolution time-to-digital converter (TDC) is employed in [2] at the cost of increased power consumption. In addition, using a phase detector (PD) with a replica delay cell, as proposed in [3], suffers from the problem of device mismatches. Moreover, the absence of startup circuits in [2] and [3] requires the oscillation frequency to be set within the injection lock range initially. [1] and [3] achieve an excellent figure-of-merits (FoMs) of less than 24 db with a small N since achieving the high performance in ILOs is challenging with a higher N [4]. However, reducing N is not adequate for practical designs using crystal oscillators whose operating frequency is often limited only up to 2 MHz. In this paper, an injection-locked ring phase-locked loop (ILRPLL) with N = 64 is presented. The ILRPLL employs a PLL to initialize the oscillation frequency and a frequency calibration loop (FCL) for nullifying frequency mismatch. Additionally, a device mismatch calibration loop (MCL) runs in the background. A charge-stored complementary switch (CSCS) injection technique is proposed as well to achieve a wide lock range even for a large N. The remaining parts of this paper is organized as follows. Section II describes the proposed ILRPLL architecture. After the experimental results are presented

2 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.6, DECEMBER, Normal-operation state FCL/MCL REF DCC, PFD PWC DLF Acc. ΔΣ ER F 1 PLL_DONE 1 UP/DN ER M CNT[2] Acc. ΔΣ RST_PLSB CLK_PLSB EN_PLS ON_PLS FCW MCW CNT ILRO CNT[1:] DIV4 RST RSTCNT CNT DIV4 RSTB FCW: Frequency Control Word MCW: Mismatch Control Word Fig. 1. Block diagram of the proposed ILRPLL. DIV64 Initial state PLL in Section III, Section IV concludes the work. FCW II. ARCHITECTURE OUT The block diagram of the proposed ILRPLL is illustrated in Fig. 1. It is composed of a phase frequency detector (PFD), a digital loop filter (DLF), an injectionlocked ring oscillator (ILRO), the FCL, the MCL, and a duty-cycle corrector (DCC). The ILRO consists of a pseudo-differential two-stage ring-type digitally controlled oscillator (DCO) using the merged injection technique, as shown in Fig. 2. In addition, the DCC maintains the 5% duty cycle for achieving equally spaced injection at rising and falling edges. Fig. 3 shows the operational sequence of the ILRPLL. At startup, it cannot be assured that f OUT is within f LR due to relatively narrow f LR compared to the frequency range of the ILRO under process, supply voltage and temperature (PVT) variations. To ensure the injection locking operation, in the initial state, the PLL operates with the injection, which locks the ILRO. However, with the PLL locked, PLL_DONE = 1, f OUT is prone to stay away from the targeted N f REF, because the PLL cannot detect the frequency error f ERR with the ILRO locked [5]. When PLL_DONE =1, the MCL runs before the FCL operates so that the device mismatches are minimized. The device mismatches include the two path mismatches to the pulse width comparator (PWC), the capacitance/ current mismatches in the PWC. Finally, when the MCW settles at some value to minimize the device mismatches, the FCL operates to remove f ERR over the PVT variations. CSCS B Fig. 2. Schematic of ILRO. f OUT MCW PLL ON MCL OFF FCL OFF Startup Initial state OFF ON 1. Charge-stored Complementary Switch Injection Technique Dummy OUTB ON Unit cell N f REF The lock range analysis proposed in [6] with a phase domain response (PDR) is valid for both weak and strong injections. If f ERR is nonzero, the injection signal causes an output phase shift. The lock range is determined by f LR Time Time Normal-operation state Fig. 3. ILRPLL operation sequence. Minimized mismatch

3 862 SUNGWOO KIM et al : A 285-FS RMS INTEGRATED JITTER INJECTION-LOCKED RING PLL WITH CHARGE-STORED B the range of possible phase shifts: the maximum phase shift, P max, and the minimum phase shift P min, while the ILO maintains in a lock state. Thus, it can be expressed as f N1 Fig. 4. Schematic of CSCS. I C,DC C CS ( - ) f P P f P max min pp LR = =, (1) 2p N 2p N where P pp is the peak-to-peak phase shift of the PDR. Therefore, the lock range can be maximized by increasing P pp and decreasing N. If the reference signals are injected at both rising and falling edges, it brings an effect of halving the N. A single switch injection technique shorting the differential clock signals is generally employed. Nonetheless, the design of the pulse generator (PG) N2 producing the suitable injection pulse is challenging [2]. As an alternative to the use of the PG, a complementary switch (CS) injection technique can be employed [7]. However, it is difficult to obtain a large P pp because the injection current flows only during a short transition time. In order to increase the injection current, the chargestored complementary switch (CSCS) injection technique is proposed as described in Fig. 4. The charge-storing capacitor C CS is placed between the center nodes of the two switches to hold an additional charge. Fig. 5(a) shows the operation of the CSCS at the transition moment. When N1 is connected to B, the charge Q stored in C CS is discharged to B, which makes B to go the level of. Consequently, it results in pushing the output phase, as shown in Fig. 5(b). In order to verify the CSCS, the PDR is determined through simulation as shown in Fig. 6(a). As shown in Fig. 6(b), f LR of the CSCS method is proportional to C CS and it shows about the lock range of MHz at C CS = 3 ff, which is 3 times wider than that of the CS method. In addition, the measured lock range of prototype chip is MHz. As a compromise, the optimum value for C CS is set to 3 ff to obtain the targeted phase noise performance with a minimum power overhead. Therefore, with the aid of the CSCS injection technique, an enhanced : '1' : '1' Q Q N1 N2 N1 N2 C CS C CS B: '' B N1 N2 (a) B: '' I C,DC f ERR = f ERR <, pulling phase f ERR >, pushing phase Fig. 5. CSCS injection technique (a) operation, (b) timing diagram. (b)

4 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.6, DECEMBER, Phase shift [ ] No C CS 1 ff 3 ff 5 ff P pp Lock range [MHz] Measured lock range Input phase [ ] C CS [ff] 6 (a) (b) Fig. 6. (a) PDR, (b) calculated lock range. REF RSTB RSTCNT DIV4 Half Period CNT[2:] EN_PLS ON_PLS CLK_PLSB RST_PLSB Pulling phase V EN V ON UP/DN Frequency Error UP (ER F) Mismatch Error UP (ER M) Fig. 7. Timing diagram of FCL and MCL. lock range can be achieved. 2. Frequency/Mismatch Calibration Loop The key concept of detecting f ERR in [2] is based on the phenomenon that the pulse-width difference is proportional to f ERR when the reference clock is injected into the oscillator. By comparing the two pulse widths, f ERR can be detected and compensated. Our approach is to simply detect the polarity of f ERR using a PD, as in [3] and [8], which eliminates the use of a power-hungry TDC. However, the PD alone cannot compare two successive pulses simultaneously and it suffers from the offset issues. As a remedy, the PWC converts the pulse width into voltage and detects the polarity of f ERR [8]. The illustrative timing diagram of the FCL and the MCL operation and the detailed implementation of the PWC are shown in Fig. 7 and 8, respectively. The PWC generates the up/down signal UP/DN by comparing EN_PLS and ON_PLS, which are generated from the divided-by-4 clock DIV4. The dividing factor of 4 is chosen to allow a sufficient time-to-voltage conversion gain and a low power operation. The counter (CNT) identifies the pulse width and RSTCNT that are generated from the external reset RSTB, and resets CNT to before the rising edge of. The pulse width of EN_PLS in CNT = is proportional to f ERR and the width of ON_PLS in CNT = 1 represents the oscillator s free-running frequency. Detecting f ERR is performed every half period of REF. Injecting at both rising and falling edges has the effect of halving N. It is important to note that nonzero value of f ERR makes the EN_PLS in CNT = deviate from the ON_PLS in CNT = 1, and consequently, the PWC generates a polarity of difference. After the PWC makes the decision at rising edge of CLK_PLSB, the V EN and V ON are reset at rising edge of RST_PLSB. Then, 1-bit information of the f ERR is delivered to the DLF.

5 864 SUNGWOO KIM et al : A 285-FSRMS INTEGRATED JITTER INJECTION-LOCKED RING PLL WITH CHARGE-STORED MCW EN_PLS ON_PLS VEN UP/DN RST_PLSB VON CLK_PLSB Fig. 8. Schematic of PWC. Block Power [mw] ILRO 4.86 DLF.68 31um I2C&DLF 21um 8um ILRO PWC 14um 11um 14um Fig. 1. Measured phase noise. DCC PWC,DCC, DIV, PFD Total 4.34dBc Fig. 9. Chip photomicrograph and power breakdown. Furthermore, both the pulse widths of EN_PLS in CNT = 4 and ON_PLS in CNT = 5 refers to the oscillator s free-running frequency. Comparing the two same pulse width, the device mismatches are detected. The mismatches include the mismatches of capacitance and the charge pump in the PWC. In the remaining half period, the PWC decides the polarity of the mismatches and calibrates the mismatches. Therefore, the implemented FCL and MCL can track and compensate for frequency deviation over PVT variations. III. EXPERIMENTAL RESULTS The ILRPLL is fabricated in a 65-nm CMOS technology. The active die area of the ILRPLL chip is.64 mm2. The chip photomicrograph and the power breakdown are shown in Fig. 9. The ILRPLL operates at GHz and consumes 7.16 mw from a 1.2-V supply with a 52-MHz reference clock. The measured phase noise at this frequency with N = 64 is shown in Fig. 1. The phase noise of the free running DCO without the injection is measured with a dbc/hz at the 1-MHz offset frequency. With the reference clock injection enabled and the PLL locked, the integrated jitter from 1 khz 4 MHz is 555 fsrms, which Fig. 11. Measured spectrum in initial state. is in the initial state. Without the FCL and the MCL, ferr is not zero and unpredictable due to the PLL operation with the ILOs, resulting in poor jitter performance. In the normal-operation state, the PLL is turned off and the calibration loops start to operate. The measured phase noise is dbc/hz at the 1-MHz offset frequency and the measured jitter is 285 fsrms, which means that the implemented calibration loops are working for the minimization of ferr. As shown in Fig. 11 and 12, the reference spur level measurements are 4.3 dbc and 5.2 dbc for the initial state and the normal-operation state, respectively. Even with a slight degradation in jitter performance, the spur level increases seriously. Because of this reason, the calibration loops are essential to achieve the high performance. The performance of the implemented ILRPLL is summarized and compared with the state-of-the-art ILOs in Table 1. Compared to the ring-type architectures in [1] and [7], it is noticeable that the proposed ILRPLL exhibits a better FoM, even with a large multiplication ratio.

6 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.6, DECEMBER, Table 1. Performance summary This work [1] [3] [7] Technology [nm] Output Freq. [GHz] Multiplication ratio RMS jitter [fs] 285 (1k-4 MHz) 7 (1k-4 MHz) 185 (1k-4 MHz) 484 (1k-4 MHz) Power [mw] FoM [db] FoM = 1log[(σ rms/1s)2 (P/1 mw)] V. CONCLUSIONS A low-jitter ILRPLL with the multiplication ratio of 64 fabricated in 65-nm CMOS technology is proposed. The prototype chip operates at GHz while consuming 7.16 mw, and it achieves the FoM of db. This work has two main contributions to the ILRPLL design. First, it proposes a novel injection technique to enhance the injection strength with doubled reference frequency injection and charge storing capacitor. Second, the implemented calibration loops successfully address the performance degradation issue of the ILOs achieving an outstanding performance. ACKNOWLEDGMENTS This paper was result of the research project supported by SK hynix Inc. and Inter-university Semiconductor Research Center (ISRC) of Seoul National University. REFERENCES 5.21dBc Fig. 12. Measured spectrum in normal-operation state. [1] A. Musa, W. Deng, T. Siriburanon, M. Miyahara, K. Okada, and A. Matsuzawa, A compact, lowpower and low-jitter dual-loop injection locked PLL using all-digital PVT calibration, IEEE Journal of Solid-State Circuits, vol. 49, no. 1, pp. 5 6, Jan [2] B. M. Helal, C.-M. Hsu, K. Johnson, and M. H. Perrott, A low jitter programmable clock multiplier based on a pulse injection-locked oscillator with a highly-digital tuning loop, IEEE Journal of Solid-State Circuits, vol. 44, no. 5, pp , May 29. [3] S. Choi, S. Yoo, and J. Choi, A 185-fsrmsintegrated-jitter and 245dB FOM PVT-robust ring-vco-based injection-locked clock multiplier with a continuous frequency-tracking loop using a replica-delay cell and a dual-edge phase detector, in 216 IEEE International Solid-State Circuits Conference (ISSCC), 216, pp [4] J. Lee and H. Wang, Study of subharmonically injection-locked PLLs, IEEE Journal of Solid-State Circuits, vol. 44, no. 5, pp , May 29. [5] A. Elkholy, M. Talegaonkar, T. Anand, and P. Kumar Hanumolu, Design and analysis of lowpower high-frequency robust sub-harmonic injection-locked clock multipliers, IEEE Journal of Solid-State Circuits, vol. 5, no. 12, pp , Dec [6] D. Dunwell and A. C. Carusone, Modeling oscillator injection locking using the phase domain response, IEEE Trans. Circuits Syst. I, vol. 6, no. 11, pp , Nov [7] S.-Y. Cho, S. Kim, M.-S. Choo, J. Lee, H.-G. Ko, S. Jang, S.-H. Chu, W. Bae, Y. Kim, and D.-K. Jeong, A 5-GHz subharmonically injection-locked alldigital PLL with complementary switched injection, in European Solid-State Circuits Conference (ESSCIRC), ESSCIRC st, 215, pp

7 866 [8] SUNGWOO KIM et al : A 285-FSRMS INTEGRATED JITTER INJECTION-LOCKED RING PLL WITH CHARGE-STORED H. Kim, Y. Kim, T. Kim, H. Park, and S. Cho, A 2.4GHz 1.5mW digital MDLL using pulse-width comparator and double injection technique in 28nm CMOS, in 216 IEEE International Solid-State Circuits Conference (ISSCC), 216, pp Sungwoo Kim received the B.S. degree in electronic engineering from the Kyungpook National University, Daegu, Korea, in 29, and the M.S. degrees from the Seoul National University, Seoul, Korea, in 211, where he is currently working toward the Ph.D. degree. His research interests include phaselocked loop and injection-locked oscillator for highspeed communication. Sungchun Jang received the B.S., M.S., and Ph.D. degrees in electrical engineering and computer science from Seoul National University, Seoul, Korea, in 28, 21 and 215, respectively. He joined SK hynix, Icheon, Korea, in 216. His research interests include high-speed I/O circuits and alldigital PLL/DLL design. Sung-Yong Cho received the B.S degree in electrical engineering from Pohang University of Science and Technology (POSTECH), Pohang, Korea, in 212. He is currently working toward the Ph.D. degree in electrical engineering at Seoul National University, Seoul. His research is focused on high-speed I/O circuits and architectures. Min-Seong Choo received the B.S. degree in electrical engineering and computer science from Seoul National University, Seoul, Korea, in 212. He is currently working toward the Ph.D. degree in the same university. His research interests include high-speed I/O circuits using injection locking techniques. Gyu-Seob Jeong received the B.S. degree in electrical engineering and computer science from Seoul National University, Seoul, Korea, in 212. He is currently working toward the Ph.D. degree in the same university. His research interests include silicon photonics, high-speed I/O circuits. Woorham Bae received the B.S. and Ph.D. degrees in electrical and computer engineering from Seoul National University, Seoul, Korea, in 21 and 216, respectively. He is currently a Postdoctoral Researcher at the Inter-University Semiconductor Research Center, Seoul National University. His current research interests include integrated circuits for silicon photonics, high-speed I/O circuits and architectures, and non-volatile memory systems. Dr. Bae received the Distinguished Ph.D. Dissertation Award from the Department of Electrical and Computer Engineering, Seoul National University in 216, the IEEE Circuits and Systems Society Pre-Doctoral Scholarship in 216, the IEEE Solid-State Circuits Society STG Award at the Asian Solid-State Circuits Conference in 215, and the Best Poster Award at the IC Design Education Center Chip Design Contest, International SoC Design Conference, in 214. Deog-Kyoon Jeong received the B.S. and M.S. degrees in electronics engineering from Seoul National University, Seoul, Korea, in 1981 and 1984, respectively, and the Ph.D. degree in electrical where he was a Member of Technical Staff and worked on engineering and computer sciences from the University of California, Berkeley, in From 1989 to 1991, he was with Texas Instruments, Dallas, TX, the modeling and design of BiCMOS gates and the singlechip implementation of the SPARC architecture. He joined the faculty of the Department of Electronics Engineering and Inter-University Semiconductor Research Center, Seoul National University, as an Assistant Professor in He is currently a Professor in the School of Electrical Engineering, Seoul National University. His main research interests include highspeed I/O circuits, VLSI systems design, microprocessor architectures, and memory systems.

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop Seong-Jin An 1 and Young-Shig Choi 2 Department of Electronic Engineering, Pukyong National University

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection Somnath Kundu 1, Bongjin Kim 1,2, Chris H. Kim 1 1

More information

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 3, MARCH 2011 149 Built-in Self-Calibration Circuit for Monotonic Digitally Controlled Oscillator Design in 65-nm CMOS Technology

More information

A fully synthesizable injection-locked PLL with feedback current output DAC in 28 nm FDSOI

A fully synthesizable injection-locked PLL with feedback current output DAC in 28 nm FDSOI LETTER IEICE Electronics Express, Vol.1, No.15, 1 11 A fully synthesizable injection-locked PLL with feedback current output DAC in 8 nm FDSOI Dongsheng Yang a), Wei Deng, Aravind Tharayil Narayanan, Rui

More information

A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications

A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications Teerachot Siriburanon, Wei Deng, Ahmed Musa, Kenichi Okada, and Akira Matsuzawa Tokyo Institute

More information

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology Ching-Che Chung 1a), Duo Sheng 2, and Sung-En Shen 1 1 Department of Computer Science & Information

More information

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.4, DECEMBER, 2006 281 A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration Tae-Geun Yu, Seong-Ik Cho, and Hang-Geun Jeong

More information

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, OL.13, NO.5, OCTOBER, 2013 http://dx.doi.org/10.5573/jsts.2013.13.5.459 A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier Geontae

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

A GHz Wideband Sub-harmonically Injection- Locked PLL with Adaptive Injection Timing Alignment Technique

A GHz Wideband Sub-harmonically Injection- Locked PLL with Adaptive Injection Timing Alignment Technique A 2.4 3.6-GHz Wideband Sub-harmonically Injection- Locked PLL with Adaptive Injection Timing Alignment Technique Abstract: This paper proposes a wideband sub harmonically injection-locked PLL (SILPLL)

More information

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, 2014 http://dx.doi.org/10.5573/jsts.2014.14.3.331 A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

More information

A Design of Small Area, 0.95 mw, MHz Open Loop Injection-Locked Frequency Multiplier for IoT Sensor Applications

A Design of Small Area, 0.95 mw, MHz Open Loop Injection-Locked Frequency Multiplier for IoT Sensor Applications 1 2 3 4 5 6 7 8 9 10 11 12 13 14 Type of the Paper (Article) A Design of Small Area, 0.95 mw, 612 1152 MHz Open Loop Injection-Locked Multiplier for IoT Sensor Applications SungJin Kim 1, Dong-Gyu Kim

More information

GHz All-digital DLL for Mobile Memory Interface with Phase Sampling Window Adaptation to Reduce Jitter Accumulation

GHz All-digital DLL for Mobile Memory Interface with Phase Sampling Window Adaptation to Reduce Jitter Accumulation JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.411 ISSN(Online) 2233-4866 0.11-2.5 GHz All-digital DLL for Mobile

More information

A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in Jitter Monitor

A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in Jitter Monitor 1472 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 62, NO. 6, JUNE 2015 A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in

More information

A Single-Chip 2.4-GHz Direct-Conversion CMOS Receiver for Wireless Local Loop using Multiphase Reduced Frequency Conversion Technique

A Single-Chip 2.4-GHz Direct-Conversion CMOS Receiver for Wireless Local Loop using Multiphase Reduced Frequency Conversion Technique 800 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 A Single-Chip 2.4-GHz Direct-Conversion CMOS Receiver for Wireless Local Loop using Multiphase Reduced Frequency Conversion Technique

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies JOURNAL OF ELECTROMAGNETIC ENGINEERING AND SCIENCE, VOL. 17, NO. 2, 98~104, APR. 2017 http://dx.doi.org/10.5515/jkiees.2017.17.2.98 ISSN 2234-8395 (Online) ISSN 2234-8409 (Print) CMOS 120 GHz Phase-Locked

More information

A 60GHz Sub-Sampling PLL Using A Dual-Step-Mixing ILFD

A 60GHz Sub-Sampling PLL Using A Dual-Step-Mixing ILFD A 60GHz Sub-Sampling PLL Using A Dual-Step-Mixing ILFD Teerachot Siriburanon, Tomohiro Ueno, Kento Kimura, Satoshi Kondo, Wei Deng, Kenichi Okada, and Akira Matsuzawa Tokyo Institute of Technology, Japan

More information

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.6, DECEMBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.6.825 ISSN(Online) 2233-4866 An All-digital Delay-locked Loop using

More information

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme Young-Chan Jang a) School of Electronic Engineering, Kumoh National Institute of Technology, 1, Yangho-dong,

More information

Tae-Kwang Jang. Electrical Engineering, University of Michigan

Tae-Kwang Jang. Electrical Engineering, University of Michigan Education Tae-Kwang Jang Electrical Engineering, University of Michigan E-Mail: tkjang@umich.edu Ph.D. in Electrical Engineering, University of Michigan September 2013 November 2017 Dissertation title:

More information

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A single-slope 80MS/s ADC using two-step time-to-digital conversion A single-slope 80MS/s ADC using two-step time-to-digital conversion The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz,

More information

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip B. Janani, N.Arunpriya B.E, Dept. of Electronics and Communication Engineering, Panimalar Engineering College/ Anna

More information

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL 2.1 Background High performance phase locked-loops (PLL) are widely used in wireless communication systems to provide

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

THE reference spur for a phase-locked loop (PLL) is generated

THE reference spur for a phase-locked loop (PLL) is generated IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 8, AUGUST 2007 653 Spur-Suppression Techniques for Frequency Synthesizers Che-Fu Liang, Student Member, IEEE, Hsin-Hua Chen, and

More information

A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique

A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique Matsuzawa Lab. Matsuzawa & Okada Lab. Tokyo Institute of Technology A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique Kento Kimura, Kenichi Okada and Akira Matsuzawa (WE2C-2) Matsuzawa &

More information

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control Sooho Cha, Chunseok Jeong, and Changsik Yoo A phase-locked loop (PLL) is described which is operable from 0.4 GHz to 1.2

More information

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application J Electr Eng Technol Vol. 9, No.?: 742-?, 2014 http://dx.doi.org/10.5370/jeet.2014.9.?.742 ISSN(Print) 1975-0102 ISSN(Online) 2093-7423 20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband

More information

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 8, AUGUST 2002 1021 A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle Hsiang-Hui Chang, Student Member, IEEE, Jyh-Woei Lin, Ching-Yuan

More information

All-Synthesizable 5-Phase Phase-Locked Loop for USB2.0

All-Synthesizable 5-Phase Phase-Locked Loop for USB2.0 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.352 ISSN(Online) 2233-4866 All-Synthesizable 5-Phase Phase-Locked

More information

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.2, APRIL, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.2.281 ISSN(Online) 2233-4866 A 4b/cycle Flash-assisted SAR ADC with

More information

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator Nitin Kumar #1, Manoj Kumar *2 # Ganga Institute of Technology & Management 1 nitinkumarvlsi@gmail.com * Guru Jambheshwar University of Science

More information

Noise Analysis of Phase Locked Loops

Noise Analysis of Phase Locked Loops Noise Analysis of Phase Locked Loops MUHAMMED A. IBRAHIM JALIL A. HAMADAMIN Electrical Engineering Department Engineering College Salahaddin University -Hawler ERBIL - IRAQ Abstract: - This paper analyzes

More information

Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture. Advanced PLL Examples (Part I)

Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture. Advanced PLL Examples (Part I) Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture Advanced PLL Examples (Part I) Michael Perrott August 15, 2008 Copyright 2008 by Michael H. Perrott All rights reserved. Outline

More information

A Clock Regenerator using Two 2 nd Order Sigma-Delta Modulators for Wide Range of Dividing Ratio

A Clock Regenerator using Two 2 nd Order Sigma-Delta Modulators for Wide Range of Dividing Ratio http://dx.doi.org/10.5573/jsts.2012.12.1.10 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.1, MARCH, 2012 A Clock Regenerator using Two 2 nd Order Sigma-Delta Modulators for Wide Range of

More information

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Engineering, Technology & Applied Science Research Vol. 7, No. 2, 2017, 1473-1477 1473 A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Hamidreza Esmaeili Taheri Department of Electronics

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

High-Robust Relaxation Oscillator with Frequency Synthesis Feature for FM-UWB Transmitters

High-Robust Relaxation Oscillator with Frequency Synthesis Feature for FM-UWB Transmitters JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.2, APRIL, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.2.202 ISSN(Online) 2233-4866 High-Robust Relaxation Oscillator with

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

A GHz Dual-Loop SAR-controlled Duty-Cycle Corrector Using a Mixed Search Algorithm

A GHz Dual-Loop SAR-controlled Duty-Cycle Corrector Using a Mixed Search Algorithm http://dx.doi.org/10.5573/jsts.2013.13.2.152 JURNAL F SEMICNDUCTR TECHNLGY AND SCIENCE, VL.13, N.2, APRIL, 2013 A 0.5 2.0 GHz DualLoop SARcontrolled DutyCycle Corrector Using a Mixed Search Algorithm Sangwoo

More information

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation 2518 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 59, NO. 11, NOVEMBER 2012 A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise

More information

WIDE tuning range is required in CMOS LC voltage-controlled

WIDE tuning range is required in CMOS LC voltage-controlled IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 5, MAY 2008 399 A Wide-Band CMOS LC VCO With Linearized Coarse Tuning Characteristics Jongsik Kim, Jaewook Shin, Seungsoo Kim,

More information

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.4, AUGUST, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.4.552 ISSN(Online) 2233-4866 A 1.5 Gbps Transceiver Chipset in 0.13-mm

More information

A High-Resolution Dual-Loop Digital DLL

A High-Resolution Dual-Loop Digital DLL JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.4, AUGUST, 216 ISSN(Print) 1598-1657 http://dx.doi.org/1.5573/jsts.216.16.4.52 ISSN(Online) 2233-4866 A High-Resolution Dual-Loop Digital DLL

More information

REDUCING power consumption and enhancing energy

REDUCING power consumption and enhancing energy 548 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 6, JUNE 2016 A Low-Voltage PLL With a Supply-Noise Compensated Feedforward Ring VCO Sung-Geun Kim, Jinsoo Rhim, Student Member,

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

A Triple-Band Voltage-Controlled Oscillator Using Two Shunt Right-Handed 4 th -Order Resonators

A Triple-Band Voltage-Controlled Oscillator Using Two Shunt Right-Handed 4 th -Order Resonators JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.4, AUGUST, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.4.506 ISSN(Online) 2233-4866 A Triple-Band Voltage-Controlled Oscillator

More information

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Duo Sheng 1a), Ching-Che Chung 2,andChen-YiLee 1 1 Department of Electronics Engineering & Institute of

More information

A Wide-Bandwidth 2.4GHz ISM Band Fractional-N PLL with Adaptive Phase Noise Cancellation. Outline

A Wide-Bandwidth 2.4GHz ISM Band Fractional-N PLL with Adaptive Phase Noise Cancellation. Outline A Wide-Bandwidth 2.4GHz ISM Band Fractional-N PLL with Adaptive Phase Noise Cancellation Ashok Swaminathan,2, Kevin J. Wang, Ian Galton University of California, San Diego, CA 2 NextWave Broadband, San

More information

A Low Area, Switched-Resistor Loop Filter Technique for Fractional-N Synthesizers Applied to a MEMS-based Programmable Oscillator

A Low Area, Switched-Resistor Loop Filter Technique for Fractional-N Synthesizers Applied to a MEMS-based Programmable Oscillator A Low Area, Switched-Resistor Loop Filter Technique for Fractional-N Synthesizers Applied to a MEMS-based Programmable Oscillator ISSCC 00, Session 3. M.H. Perrott, S. Pamarti, E. Hoffman, F.S. Lee, S.

More information

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN 5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE 802.11a/b/g WLAN Manolis Terrovitis, Michael Mack, Kalwant Singh, and Masoud Zargari 1 Atheros Communications, Sunnyvale, California 1 Atheros

More information

I. INTRODUCTION. Architecture of PLL-based integer-n frequency synthesizer. TABLE I DIVISION RATIO AND FREQUENCY OF ALL CHANNELS, N =16, P =16

I. INTRODUCTION. Architecture of PLL-based integer-n frequency synthesizer. TABLE I DIVISION RATIO AND FREQUENCY OF ALL CHANNELS, N =16, P =16 320 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 56, NO. 2, FEBRUARY 2009 A 5-GHz CMOS Frequency Synthesizer With an Injection-Locked Frequency Divider and Differential Switched Capacitors

More information

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2 Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2 1 PG student, Department of ECE, Vivekanandha College of Engineering for Women. 2 Assistant

More information

Acounter-basedall-digital spread-spectrum clock generatorwithhighemi reductionin65nmcmos

Acounter-basedall-digital spread-spectrum clock generatorwithhighemi reductionin65nmcmos LETTER IEICE Electronics Express, Vol.10, No.6, 1 6 Acounter-basedall-digital spread-spectrum clock generatorwithhighemi reductionin65nmcmos Ching-Che Chung 1a), Duo Sheng 2, and Wei-Da Ho 1 1 Department

More information

NEW WIRELESS applications are emerging where

NEW WIRELESS applications are emerging where IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 709 A Multiply-by-3 Coupled-Ring Oscillator for Low-Power Frequency Synthesis Shwetabh Verma, Member, IEEE, Junfeng Xu, and Thomas H. Lee,

More information

A Low Power Single Phase Clock Distribution Multiband Network

A Low Power Single Phase Clock Distribution Multiband Network A Low Power Single Phase Clock Distribution Multiband Network A.Adinarayana Asst.prof Princeton College of Engineering and Technology. Abstract : Frequency synthesizer is one of the important elements

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 20: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 2 is on Friday Nov. 9 One double-sided 8.5x11

More information

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters.

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 010 Lecture 7: PLL Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report

More information

Design of low phase noise InGaP/GaAs HBT-based differential Colpitts VCOs for interference cancellation system

Design of low phase noise InGaP/GaAs HBT-based differential Colpitts VCOs for interference cancellation system Indian Journal of Engineering & Materials Sciences Vol. 17, February 2010, pp. 34-38 Design of low phase noise InGaP/GaAs HBT-based differential Colpitts VCOs for interference cancellation system Bhanu

More information

A CMOS Frequency Synthesizer with an Injection-Locked Frequency Divider for a 5 GHz Wireless LAN Receiver. Hamid Rategh

A CMOS Frequency Synthesizer with an Injection-Locked Frequency Divider for a 5 GHz Wireless LAN Receiver. Hamid Rategh A CMOS Frequency Synthesizer with an Injection-Locked Frequency Divider for a 5 GHz Wireless LAN Receiver Hamid Rategh Center for Integrated Systems Stanford University OUTLINE Motivation Introduction

More information

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR Yang-Shyung Shyu * and Jiin-Chuan Wu Dept. of Electronics Engineering, National Chiao-Tung University 1001 Ta-Hsueh Road, Hsin-Chu, 300, Taiwan * E-mail:

More information

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 http://dx.doi.org/10.5573/jsts.2012.12.4.405 An 8-Gb/s Inductorless Adaptive Passive Equalizer in 0.18- µm CMOS Technology

More information

High Performance Digital Fractional-N Frequency Synthesizers

High Performance Digital Fractional-N Frequency Synthesizers High Performance Digital Fractional-N Frequency Synthesizers Michael Perrott October 16, 2008 Copyright 2008 by Michael H. Perrott All rights reserved. Why Are Digital Phase-Locked Loops Interesting? PLLs

More information

A Frequency Synthesis of All Digital Phase Locked Loop

A Frequency Synthesis of All Digital Phase Locked Loop A Frequency Synthesis of All Digital Phase Locked Loop S.Saravanakumar 1, N.Kirthika 2 M.E.VLSI DESIGN Sri Ramakrishna Engineering College Coimbatore, Tamilnadu 1 s.saravanakumar21@gmail.com, 2 kirthi.com@gmail.com

More information

20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS. Masum Hossain & Tony Chan Carusone University of Toronto

20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS. Masum Hossain & Tony Chan Carusone University of Toronto 20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS Masum Hossain & Tony Chan Carusone University of Toronto masum@eecg.utoronto.ca Motivation Data Rx3 Rx2 D-FF D-FF Rx1 D-FF Clock Clock

More information

DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier

DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier DLL Based Clock Generator with Low Power and High Speed Frequency Multiplier Thutivaka Vasudeepthi 1, P.Malarvezhi 2 and R.Dayana 3 1-3 Department of ECE, SRM University SRM Nagar, Kattankulathur, Kancheepuram

More information

Multiple Reference Clock Generator

Multiple Reference Clock Generator A White Paper Presented by IPextreme Multiple Reference Clock Generator Digitial IP for Clock Synthesis August 2007 IPextreme, Inc. This paper explains the concept behind the Multiple Reference Clock Generator

More information

Dedication. To Mum and Dad

Dedication. To Mum and Dad Dedication To Mum and Dad Acknowledgment Table of Contents List of Tables List of Figures A B A B 0 1 B A List of Abbreviations Abstract Chapter1 1 Introduction 1.1. Motivation Figure 1. 1 The relative

More information

IN RECENT years, the phase-locked loop (PLL) has been a

IN RECENT years, the phase-locked loop (PLL) has been a 430 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 6, JUNE 2010 A Two-Cycle Lock-In Time ADPLL Design Based on a Frequency Estimation Algorithm Chia-Tsun Wu, Wen-Chung Shen,

More information

A Low Phase Noise 24/77 GHz Dual-Band Sub-Sampling PLL for Automotive Radar Applications in 65 nm CMOS Technology

A Low Phase Noise 24/77 GHz Dual-Band Sub-Sampling PLL for Automotive Radar Applications in 65 nm CMOS Technology A Low Phase Noise 24/77 GHz Dual-Band Sub-Sampling PLL for Automotive Radar Applications in 65 nm CMOS Technology Xiang Yi, Chirn Chye Boon, Junyi Sun, Nan Huang and Wei Meng Lim VIRTUS, Nanyang Technological

More information

Low Phase Noise Series-coupled VCO using Current-reuse and Armstrong Topologies

Low Phase Noise Series-coupled VCO using Current-reuse and Armstrong Topologies JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.1, FEBRUARY, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.1.042 ISSN(Online) 2233-4866 Low Phase Noise Series-coupled VCO

More information

A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor

A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor LETTER IEICE Electronics Express, Vol.9, No.24, 1842 1848 A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor Yangyang Niu, Wei Li a), Ning

More information

Conference Guide IEEE International Symposium on Circuits and Systems. Rio de Janeiro, May 15 18, 2011

Conference Guide IEEE International Symposium on Circuits and Systems. Rio de Janeiro, May 15 18, 2011 2011 IEEE International Symposium on Circuits and Systems Rio de Janeiro, May 15 18, 2011 Conference Guide The Institute of Electrical and Eletronics Engineers IEEE Circuits and System s Society Federal

More information

STT-MRAM Read-circuit with Improved Offset Cancellation

STT-MRAM Read-circuit with Improved Offset Cancellation JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.347 ISSN(Online) 2233-4866 STT-MRAM Read-circuit with Improved Offset

More information

Fabricate a 2.4-GHz fractional-n synthesizer

Fabricate a 2.4-GHz fractional-n synthesizer University of Malaya From the SelectedWorks of Professor Mahmoud Moghavvemi Summer June, 2013 Fabricate a 2.4-GHz fractional-n synthesizer H Ameri Mahmoud Moghavvemi, University of Malaya a Attaran Available

More information

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 380 391 A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator Seok KIM 1, Seung-Taek YOO 1,2,

More information

A 45-nm SOI-CMOS Dual-PLL Processor Clock System for Multi-Protocol I/O

A 45-nm SOI-CMOS Dual-PLL Processor Clock System for Multi-Protocol I/O A 45-nm SOI-CMOS Dual-PLL Processor Clock System for Multi-Protocol I/O Dennis Fischette, Alvin Loke, Michael Oshima, Bruce Doyle, Roland Bakalski*, Richard DeSantis, Anand Thiruvengadam, Charles Wang,

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

A Monotonic, low power and high resolution digitally controlled oscillator

A Monotonic, low power and high resolution digitally controlled oscillator A Monotonic, low power and high resolution digitally controlled oscillator Rashin asadi, Mohsen saneei nishar.a@eng.uk.ac.ir, msaneei@uk.ac.ir Paper Reference Number: ELE-3032 Name of the Presenter: Rashin

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 11: Charge Pump Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Exam 1 is on Wed. Oct 3

More information

A New Phase-Locked Loop with High Speed Phase Frequency Detector and Enhanced Lock-in

A New Phase-Locked Loop with High Speed Phase Frequency Detector and Enhanced Lock-in A New Phase-Locked Loop with High Speed Phase Frequency Detector and Enhanced Lock-in HWANG-CHERNG CHOW and NAN-LIANG YEH Department and Graduate Institute of Electronics Engineering Chang Gung University

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 20.2 A Digitally Calibrated 5.15-5.825GHz Transceiver for 802.11a Wireless LANs in 0.18µm CMOS I. Bouras 1, S. Bouras 1, T. Georgantas

More information

A Low Power, Small Area Cyclic Time-to-Digital Converter in All-Digital PLL for DVB-S2 Application

A Low Power, Small Area Cyclic Time-to-Digital Converter in All-Digital PLL for DVB-S2 Application JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.2, APRIL, 2013 http://dx.doi.org/10.5573/jsts.2013.13.2.145 A Low Power, Small Area Cyclic Time-to-Digital Converter in All-Digital PLL for DVB-S2

More information

A Low Power Digitally Controlled Oscillator Using 0.18um Technology

A Low Power Digitally Controlled Oscillator Using 0.18um Technology A Low Power Digitally Controlled Oscillator Using 0.18um Technology R. C. Gurjar 1, Rupali Jarwal 2, Ulka Khire 3 1, 2,3 Microelectronics and VLSI Design, Electronics & Instrumentation Engineering department,

More information

PAPER Low Pass Filter-Less Pulse Width Controlled PLL Using Time to Soft Thermometer Code Converter

PAPER Low Pass Filter-Less Pulse Width Controlled PLL Using Time to Soft Thermometer Code Converter 297 PAPER Low Pass Filter-Less Pulse Width Controlled PLL Using Time to Soft Thermometer Code Converter Toru NAKURA a) and Kunihiro ASADA, Members SUMMARY This paper demonstrates a pulse width controlled

More information

A Monotonic and Low-Power Digitally Controlled Oscillator Using Standard Cells for SoC Applications

A Monotonic and Low-Power Digitally Controlled Oscillator Using Standard Cells for SoC Applications A Monotonic and Low-Power Digitally Controlled Oscillator Using Standard Cells for SoC Applications Duo Sheng, Ching-Che Chung, and Jhih-Ci Lan Department of Electrical Engineering, Fu Jen Catholic University,

More information

MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS

MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS Moataz Abdelfattah Supervised by: AUC Prof. Yehea Ismail Dr. Maged Ghoniema Intel Dr. Mohamed Abdel-moneum (Industry Mentor) Outline Introduction

More information

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 15.7 A 4µA-Quiescent-Current Dual-Mode Buck Converter IC for Cellular Phone Applications Jinwen Xiao, Angel Peterchev, Jianhui Zhang, Seth Sanders

More information

THE UWB system utilizes the unlicensed GHz

THE UWB system utilizes the unlicensed GHz IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 6, JUNE 2006 1245 The Design and Analysis of a DLL-Based Frequency Synthesizer for UWB Application Tai-Cheng Lee, Member, IEEE, and Keng-Jan Hsiao Abstract

More information

A Compact, Low-Power Low- Jitter Digital PLL. Amr Fahim Qualcomm, Inc.

A Compact, Low-Power Low- Jitter Digital PLL. Amr Fahim Qualcomm, Inc. A Compact, Low-Power Low- Jitter Digital PLL Amr Fahim Qualcomm, Inc. 1 Outline Introduction & Motivation Digital PLL Architectures Proposed DPLL Architecture Analysis of DPLL DPLL Adaptive Algorithm DPLL

More information

THE serial advanced technology attachment (SATA) is becoming

THE serial advanced technology attachment (SATA) is becoming IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 11, NOVEMBER 2007 979 A Low-Jitter Spread Spectrum Clock Generator Using FDMP Ding-Shiuan Shen and Shen-Iuan Liu, Senior Member,

More information

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Self-Biased PLL/DLL ECG721 60-minute Final Project Presentation Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Outline Motivation Self-Biasing Technique Differential Buffer

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

Transient Response Boosted D-LDO Regulator Using Starved Inverter Based VTC

Transient Response Boosted D-LDO Regulator Using Starved Inverter Based VTC Research Manuscript Title Transient Response Boosted D-LDO Regulator Using Starved Inverter Based VTC K.K.Sree Janani, M.Balasubramani P.G. Scholar, VLSI Design, Assistant professor, Department of ECE,

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN60: Network Theory Broadband Circuit Design Fall 014 Lecture 13: Frequency Synthesizer Examples Sam Palermo Analog & Mixed-Signal Center Texas A&M University Agenda Frequency Synthesizer Examples Design

More information