Topic List: Review. CSE241 VLSI Digital Circuits Winter Lecture 20: Futures for VLSI. Logistics. HW Solutions. HW Solutions.

Size: px
Start display at page:

Download "Topic List: Review. CSE241 VLSI Digital Circuits Winter Lecture 20: Futures for VLSI. Logistics. HW Solutions. HW Solutions."

Transcription

1 CSE241 L20 Futures.1 Kahng, UCSD 2003 Topic List: Review CSE241 VLSI Digital Circuits Winter 2003 Lecture 20: Futures for VLSI Week 1: MOSFETs, interconnects, R/C/L, performance, power, Verilog Week 2: ASIC flow, design planning/convergence methodologies, key design challenges, STA, datapath blocks, performance coding Week 3: Logic synthesis (covering, technology mapping, local transformations), synthesis, timing Week 4: Timing, clocking, clock distribution, timing libraries, project announcement (v.1), synthesis for timing, placement Week 5: Routing, place-and-route, IBM ACG placement, STA lab (PrimeTime) Week 6: Power distribution, noise analysis, parasitic extraction, P&R lab (SE), project announcement (v. 2) Week 7: Verification, formal verification lab, manufacturing and manufacturability Week 8: Manufacturability, SDF back-annotation into PrimeTime Week 9: Packaging, cost Week 10: Memory blocks, VLSI futures CSE241 L20 Futures.2 Kahng, UCSD 2003 Logistics Today s class: Where the BIG research challenges are Power-centric view of VLSI futures References: - A. B. Kahng, A Roadmap and Vision for Physical Design, Proc. ISPD, April D. Sylvester and H. Kaul, Performance Challenges in Nanometer Design, Proc. DAC, June Class ends at 10:30am Will have OH s on Monday Will broadcast ABK project current status by Sunday night Any logistics questions, send HW Solutions HW #14 (3pt): (i) All costs of distinct paths to reach a given node from the source must be remembered, instead of only the minimum path cost. (ii) (1) You need to look "to the side" as you route. In other words, determining whether a route can be pushed forward by the next grid edge requires checking not just the other endpoint of the edge, but also the neighboring nodes to that endpoint's left and right, to see whether any crosstalk bound has been violated. (2) You need to worry about an adjacency between the victim and aggressor_i starting, then stopping, then resuming again. This means that a list of all aggressors must be maintained and referenced whenever the route is being pushed forward. (iii) Yes. One can make a wide-wire grid (WWG) that captures sets of three consecutive tracks. E.g., column i in the WWG captures tracks i, i+1 and i+2 in the actual routing grid. Whether a grid point in the WWG is empty is determined by examining all three of the underlying routing grid tracks. This explanation is really for right-way wiring, i.e., to go "sideways" requires handling both rows and columns in the WWG - essentially, this means that you must have grid points that capture a square array of 3x3 track intersections in the underlying routing grid. An alternative approach is simply to perform "crosstalk-driven" routing per your solution to (ii), but with infinite cost of adjacency to a nearest-neighbor. CSE241 L20 Futures.3 Kahng, UCSD 2003 CSE241 L20 Futures.4 Kahng, UCSD 2003 HW Solutions HW #15 (3pt): (i) The end-case placement assumes that the whitespace in the instance is evenly distributed. This is suboptimal. (ii) (Give data from a netlist.) You should have found that quite a few nets have 2 and 3 pins (at least 40%). This percentage is growing since there are more inserted repeaters, weaker drivers (and increasing ratio of interconnect R to driver R), etc. as technology scales. (iii) Some ideas are: (1) fanout limits, (2) output load capacitance limits, (3) output slew time limits, and (4) artificially tweaking the pin input caps in the library (to some extent, increasing per-unit length wire cap will also tend to reduce fanouts). HW #16 (2pt): (a) There are 4 internal nodes of the slicing tree, of which the first (root) is horizontal. The other 3 internal nodes can be either H or V, implying 2 3 = 8 possibilities. There are also 5 total blocks, each of which can be put into two orientations, implying another factor of 2 5 = 32. What is the number Ak of distinct slicing floorplans for k blocks? Actually, this does get kind of tedious. By hand: A 1 = 1, A 2 = 2, A 3 = 6, A 4 = 22. Trying to find previous results on Google leads to Catalan numbers (for binary trees) and thence to super-catalan numbers. A recurrence is given by B. Yao, H. Chen, C.- K. Cheng and R. Graham (of UCSD), in their ISPD-2001 paper. In particular, A 5 = 90. Multiply by 2 8 to obtain the answer. (b) A k is the k th super-catalan or Schroder number (see the given reference). (The main conclusion is that the number of floorplans grows rapidly with k, and hence it is difficult to optimize over all floorplans. This is one reason why no one has yet claimed my car as a prize from the open challenge made in April 2000.) CSE241 L20 Futures.5 Kahng, UCSD 2003 HW Solutions HW #17: (a) (2pt) Increase the percentiles that determine the WLM: More wires will be treated pessimistically, and hence more cell area, buffer area, and power will be used. Decrease the percentiles that determine the WLM: Fewer wires will be treated pessimistically, and less area and power will be used, but there is a higher likelihood that the design will fail to meet timing in placeand-route. (There is an interesting interaction between fanout, place-and-route, and WLM. If we believe that synthesis makes a high-fanout net only when the net does not affect critical paths, and if we further believe that timing-driven place-and-route does not carefully minimize wirelength of non-critical nets, then it is probably good to treat high-fanout nets pessimistically (this guardbands the assumption that we believe the synthesis tool is making). On the other hand, if we believe that synthesis makes a low-fanout net when the net is critical, and if we further believe that TD P&R more carefully minimizes the length of critical nets, then we might be more optimistic with the WLM for low-fanout nets.) Increase the clock frequency target: more buffers and higher-drive cells will be used, which actually increases the area of the design (eventually making wires longer, and timing harder to meet). So, the benefits of this technique eventually run out of steam. Decrease the clock frequency target: not applicable (would never do this in hopes of making timing). (b) (3pt) (Back up with evidence from P&R runs.) CSE241 L20 Futures.6 Kahng, UCSD 2003

2 CSE241 L20 Futures.7 Kahng, UCSD 2003 MT Solutions #1(a) My basic flow: (1) SC global placement, (2) post-placement STA, (3) clock sink useful-skew scheduling, (4) clock buffer tree construction that is useful-skew aware (cf. associative skew ), (5) standard-cell ECO placement (to put the buffers into the layout), (6) Steiner clock subnet routing at lower levels of the clock tree (following CTGen type paradigm), (7) bounded-skew clock subnet routing at all higher levels of the clock tree, and as necessary even at lower levels, to enforce useful skews, (8) global signal routing, (9) detailed signal routing, (10) post-detailed routing STA #1(b) Criteria: (1) likelihood of convergence with maximum clock frequency, (2) minimization of CPU time (by maximizing incremental steps, minimizing detailed steps, and minimizing iterations), (3) make a good tradeoff between wiring-based skew control and wire cost (this suggests Steiner routing at lower levels, bounded-skew routing at higher levels). [Comment 1. Criteria NOT addressed: power, insertion delay, variant flow for hierarchical clocking or gated clocking. Comment 2: I do not know of any technology for clock sink placement that can separate this from placement of remaining standard cells. So, my flow does not invoke this step. I also don t want post-route ECOs.] #1(c) Variants: (1) introduce Step 11: loop over Steps 3-10 (not adopted because costbenefit ratio was not attractive, and because there is a trial placement + global routing to drive useful-skew scheduling, buffer tree construction and ECO placement); (2) after Steps 1-4, re-place the entire netlist (global, detailed placement) and then skip Step 5 (not adopted because benefits of avoiding ECO placement and leveraging a good clock skeleton were felt to be small buffer tree will largely reflect the netlist structure, and replacing can destroy assumptions made in Steps 3-4); (3) can iterate the first 5 steps essentially by iterating: clock sink placement, (ECO placement for legalization), (incremental) standard-cell (global + detailed) placement (not adopted because I feel that any objective for standalone clock sink placement would be very fuzzy, e.g., based on sizes of intersections of fanin/fanout cones of sequentially adjacent FFs) MT Solutions #2 What happens every two technology nodes: (1) one more level of H is added (with WL = twice the WL used to implement the previous level of H total clock tree WL doubles); (2) the number of clock sinks and the number of buffers are each multiplied by 4; (3) frequency is multiplied by 4; (4) supply voltage is multiplied by (0.85) x (0.85) = ; and (5) the gate area of a given clock sink or buffer scales is reduced by a factor of 4 (0.7 ^ 4 = 0.24). In the analysis of CV 2 f power, V 2 f scales by (0.5) x (4) = 2x. Then, wire capacitance scales by 2x while gate and buffer capacitance scale by 1x. Conclusion: power dissipation due to wiring multiplies by 4, and power dissipation due to clock sinks multiplies by 2. This analysis assumes that static power can be ignored, and does not dissect wire capacitance into area + fringing components. Also, there is no accounting for possible need for wider wires at the top levels of the clock tree (e.g., for process variation robustness at higher frequencies). #3 The LEF file will remain roughly the same size (same richness of cell library, say, between masters), modulo possible changes in conventions (e.g., CTLF used to be a part of LEF) and modulo possible additional library model semantics (e.g., adding power modeling into LEF). The DEF file should at least double (the components and nets will double, but if there is extra routing complexity (more complex geometries, and more segments per connection due to antenna rules or badly scaling router heuristics) the DEF could grow significantly faster. CSE241 L20 Futures.8 Kahng, UCSD 2003 Project Logistics 4 pages of report + pointers Place your PDF report in ~projxx/public_html/ on VLSI CAD Lab machines, then a URL by midnight, Wednesday night (March 19 th ) Maximum of two pages for (A), and maximum of two pages for (B) - Minimum 10 point font, minimum 1-inch margins on all sides, US Letter paper only. Only PDF will be read. Report contents: - History: When you first completed synthesis, when you first completed P&R, when you first completed analyses (RSPF-based STA, FV) where first completed means the first time that you obtained a well-formed output - Final values of metrics: (A) Frequency, (B) Frequency / Area - CPU time, wall time and server type for flows used to obtain final metrics. - Assessment of methods that were (a) considered, (b) not tried (and why), (c) tried (how, using the tools), and (d) were found most enabling (with justification of how this credit assignment was determined). - Links to all final project files: runscripts, flow description ( README ), logfiles and journal files, report files (RCX, STA, FV, etc.), design files (.sv,.def). It will help to organize the project files and to put README files in each directory. Points will be deducted for incorrect spelling, incorrect grammar, and other indications that you did not read over your own report! - Hint: MSWord has spelling and grammar checks; use these. Also, ask your roommates/labmates/colleagues to read over your report before you turn it in. CSE241 L20 Futures.9 Kahng, UCSD 2003 The BIG Challenges CSE241 L20 Futures.10 Kahng, UCSD 2003 The Red Brick Wall vs Red Brick Example: Dielectric Permittivity YEAR TECHNOLOGY NODE DRAM ½ PITCH (nm) (SC. 2.0) MPU/ASIC ½ PITCH (nm) (SC. 3.7) MPU PRINTED GATE LENGTH (nm) (SC. 3.7) MPU PHYSICAL GATE LENGTH (nm) (SC. 3.7) Conductor effective resistivity (µω-cm) Cu intermediate wiring* Barrier/cladding thickness (for Cu intermediate wiring) (nm) Interlevel metal insulator effective dielectric constant (κ) Interlevel metal insulator (minimum expected) bulk dielectric constant (κ) Red Brick = ITRS Technology Requirement with no known solution Source: Semiconductor International - CSE241 L20 Futures.11 Kahng, UCSD 2003 CSE241 L20 Futures.12 C. Case, BOC Edwards ITRS-2001 Kahng, UCSD 2003

3 CSE241 L20 Futures.13 Kahng, UCSD 2003 SRC Grand Challenges 1. Extend CMOS to its ultimate limit 2. Support continuation of Moore's Law by providing a knowledge base for CMOS replacement devices 3. Enable Wireless/Telecomm systems by addressing technical barriers in design, test, process, device and packaging technologies 4. Create mixed-domain transistor and device interconnection technologies, architectures, and tools for future microsystems that mitigate the limitations projected by ITRS 5. Search for radical, cost effective post NGL patterning options 6. Provide low-cost environmentally benign IC processes 7. Increase factory capital utilization efficiency through operational modeling 8. Provide design tools and techniques which enhance design productivity and reduce cost for correct, manufacturable and testable SOC's and SOP's 9. Enable low power and low voltage solutions for mobile/battery conserving applications through system and circuit design, test and packaging approaches. 10. Enable very low cost components 11. Provide tools enabling rapid implementation of new system architectures SRC ICSS Key Technologies (Top 12) Systems S3.2: Early Design Space Exploration S1.2: Low Power, Real-Time Algorithms and Architectures S4.1: On-Chip Communication S1.3: High Bandwidth and/or Low Power Communication S2.4: Deep Submicron Aware Microarchitectures, Accounting for Noise, Power, Timing, Interconnects, etc. S1.1: High Level Specifications of Complex Systems Circuits C1.2: Digital Low Power and/or Low Voltage Circuit Design C2.1: Mixed Signal Circuits on Advanced Technologies C2.4: Mixed Signal Low Power and/or Low Voltage Circuit Design C1.1: Digital Circuits on Advanced Technologies C2.3: Mixed Signal Design for Test C2.2: Mixed Signal Noise Immune and/or Tolerant Circuits CSE241 L20 Futures.14 Kahng, UCSD 2003 ITRS Logical/Physical/Circuit Challenges Efficient and predictable implementation - Scalable, incremental analyses and optimizations - Unified implementation/interconnect planning and estimation/prediction - Synchronization and global signaling - Heterogeneous system composition - Links to verification and test - Reliable, predictable fabric- and application-specific silicon implementation platforms - Cost-driven implementation flows Variability and design-manufacturing interface - Uncertainty of fundamental chip parameters (timing, skew, matching) due to manufacturing and dynamic variability sources - Process modeling and characterization - Cost-effective circuit, layout and reticle enhancement to manage manufacturing variability - Increasing atomic-scale variability effects ITRS Logical/Physical/Circuit Challenges Silicon complexity, non-ideal device scaling and power management - Leakage and power management - Reliability and fault tolerance - Analysis complexity and consistent analyses / synthesis objectives - Recapture of reliability lost in manufacturing test Circuit design to fully exploit device technology innovation - Support for new circuit families that address power and performance challenges - Implementation tools for SOI - Analog synthesis - Increasing atomic-scale effects - Adaptive and self-repairing circuits - Low-power sensing and sensor interface circuits; micro-optical devices CSE241 L20 Futures.15 Kahng, UCSD 2003 CSE241 L20 Futures.16 Kahng, UCSD 2003 Design-Manufacturing Integration 2001 ITRS Design Chapter: Manufacturing Integration = one of five Cross-Cutting Challenges Goal: share red bricks with other ITRS technologies Lithography CD variability requirement new Design techniques that can better handle variability Mask data volume requirement solved by Design-Mfg interfaces and flows that pass functional requirements, verification knowledge to mask writing and inspection ATE cost and speed red bricks solved by DFT, BIST/BOST techniques for high-speed I/O, signal integrity, analog/ms Does X initiative have as much impact as copper? PD + PIDS (Devices/Structures) CV/I trend (17% per year improvement) = constraint Huge increase in subthreshold I off Room temperature: increases from 0.01 ua/um in 2001 to 10 ua/um at end of ITRS (22nm node) - At operating temperatures ( deg C), increase by 15-40x Standby power challenge - Manage multi-v t, multi-v dd, multi-tox in same core - Aggressive substrate biasing - Constant-throughput power minimization - Modeling and controls passed to operating system and applications Aggressive reduction of Tox Physical Tox thickness < 1.4nm (down to 1.0nm) starting in 2001, even if high-k gate dielectrics arrive in 2004 Variability challenge: 10% < one atomic monolayer CSE241 L20 Futures.17 Kahng, UCSD 2003 CSE241 L20 Futures.18 Kahng, UCSD 2003

4 CSE241 L20 Futures.19 Kahng, UCSD 2003 PD + Lithography 10% CD uniformity is a red brick today 10% < 1 atomic monolayer at end of ITRS This year: Lithography, PIDS, FEP agreed to raise CD uniformity requirement to 15% (but still a red brick) Design for variability Novel circuit topologies Circuit optimization (conflict between slack minimization and guardbanding of quadratically increasing delay sensitivity) Centering and design for $/wafer Design for when devices, interconnects no longer 100% guaranteed correct? Potentially huge savings in manufacturing, verification, test costs PD + Assembly and Packaging Goal: cost control ($0.07/pin, $2 package, ) Grand Challenge for A&P: work with Design to develop diepackage co-analysis, co-optimization tools Bump/pad counts scale with chip area only Effective bump pitch roughly constant at 300um MPU pad counts flat from , but chip current draw increases 64% IR drop control challenge Metal requirements explode with I chip and wiring resistance Power challenge 50 W/cm 2 limit for forced-air cooling; MPU area becomes flat because power budget is flat More control (e.g., dynamic frequency and supply scaling) given to OS and application Long-term: Peltier-type thermoelectric cooling, CSE241 L20 Futures.20 Kahng, UCSD 2003 PD + Manufacturing Test High-speed interfaces (networking, memory I/O) Frequencies on same scale as overall tester timing accuracy Heterogeneous SOC design Test reuse Integration of distinct test technologies within single device Analog/mixed-signal test Reliability screens failing Burn-in screening not practical with lower Vdd, higher power budgets overkill impact on yield Design challenges: DFT, BIST PD in the loop! Analog/mixed-signal Signal integrity and advanced fault models BIST for single-event upsets (in logic as well as memory) Reliability-related fault tolerance CSE241 L20 Futures.21 Kahng, UCSD 2003 How to Share Red Bricks Cost is the biggest missing link within the ITRS Manufacturing cost (silicon cost per transistor) Manufacturing NRE cost (mask, probe card, ) Design NRE cost (engineers, tools, integration, ) Test cost Technology development cost who should solve a given red brick wall? Return On Investment (ROI) = Value / Cost Value needs to be defined ( design quality, time-to-market ) Understanding cost and ROI allows sensible sharing of red bricks across industries CSE241 L20 Futures.22 Kahng, UCSD 2003 A Top-10 List (0) Sensible unifications to co-optimize global signaling, manufacturability enhancement, and clock/test/power distribution (1) Fundamental new combinatorial optimization technologies (and possibly geometry engines) for future constraintdominated layout regimes (2) New decomposition schemes for physical design (3) Global routing that is truly path-timing aware, truly combinatorial, and able to invoke atomistic interconnect synthesis (4) In-context layout synthesis that maximizes process window while meeting electrical (functional) spec A Top-10 List (5) Efficient analog and mixed-signal layout synthesis (6) Methods for synchronization and global signaling at multi- GHz or Gbps, extending to system-level (7) Analysis, modeling and simulation methods that are tied more closely to PD syntheses, and that adapt to resource and accuracy and fidelity constraints (8) Revival of platform-specific (parallel, distributed, hardwareaccelerated) algorithm implementations (9) Mindset changes, including a culture of duplicating, deconstructing and debunking CSE241 L20 Futures.23 Kahng, UCSD 2003 CSE241 L20 Futures.24 Kahng, UCSD 2003

5 CSE241 L20 Futures.25 Kahng, UCSD 2003 VLSI Futures (Power Focus) VLSI Futures Many challenges in nanometer design Signal integrity, RC delay, inductance (self and mutual), soft error rates, process variability (V th control), reliable multi-ghz clock distribution, robust power distribution, designer productivity, etc. Focus on POWER Low-power design = high-performance design Key challenges in power: Affordable power removal: Packaging Efficient power management: Design Reliable power delivery: Distribution (not discussed today) Start with a view of future high-speed (workstations, servers) microprocessors (MPUs) CSE241 L20 Futures.26 Kahng, UCSD 2003 Working Model of High-Perf MPUs Less Performance Per Watt (Pollack s Rule) A number of CPU cores (~10M transistors each) surrounded by numerous levels of caches (L1,L2,L3) 1-T SRAM or other exotic technologies may improve memory density and/or performance More cores == more work done == more power! Current example: IBM Power4 (2 CPU MB L2); dissipates 115W in 3.8cm 2 die Power limits the computational capabilities of such a processor CPU core CPU core Cache (L1 + L2), 2MB CSE241 L20 Futures.27 Kahng, UCSD 2003 CPU CPU CPU CPU CPU CPU Cache (L1, L2, L3), 16MB May Dec Jun SPECfp Per Watt Jan Jul Feb Date of Data Aug Mar New microarchitectures aren t helping: 40-70% better performance At the expense of 2-3X area! (power also higher) Oct Apr Refs: specbench.org, Pollack, CSE241 L20 Futures.28 Gelsinger (Intel) Kahng, UCSD 2003 Power = Nanometer Design Driver Submicron ( µm) and deep submicron (0.35~0.13 µm) regimes focused on: Maintaining speed improvements despite lower V dd (constant voltage to constant field scaling) Nanometer design ( 100 nm) will be driven by minimizing power consumption while sustaining throughput and reliability Outline Packaging limitations on power Global signaling and layout optimization Packaging Limitations Packaging limitations on power Global signaling and layout optimization Static power analysis Multi-V th +V dd + sizing Static power analysis Multi-V th + V dd + sizing CSE241 L20 Futures.29 Kahng, UCSD 2003 CSE241 L20 Futures.30 Kahng, UCSD 2003

6 CSE241 L20 Futures.31 Kahng, UCSD 2003 Rising Power Density Equation governing the relationship among power, temperature, and packaging capabilities: Power Density (W/cm 2 ) Year of Production Data taken from Intel, IBM, AMD, Compaq, Sun, ITRS2000 θ ja = ( Tchip Tambient ) Pchip Packaging and Power To maintain reasonable on-die (junction) temperatures, package thermal resistance must decrease Cooling costs are highly non-linear with power consumption Circuit reliability degrades (exponentially) with rising junction temperatures Oxide lifetime Electromigration Hot carrier effects Performance also penalized by high operating temperatures Slower transistors Higher interconnect resistance Higher leakage currents == higher total power θ ( T T ) Pchip ja chip ambient Power Density (W/cm 2 ) CSE241 L20 Futures.32 Kahng, UCSD 2003 = Cost ($) ~ 30-40W/cm 2 Packaging Capabilities/Limitations MPU packaging improving with time Current paradigm = heat sinking + fans + flip-chip Modern θ ja s on par with liquid cooling in mid-late 1980s Continued gains may require paradigm shifts in technology Refrigeration (vapor compression = $1/W) Liquid cooling Limitations of affordable packaging options? ~50 W/cm 2 for forced air + heat sinking >100 W/cm 2 for liquid cooling and other advanced technologies Maximum Power Is Too Constraining Maximum power is artificial Synthetic benchmarks maximum utilization Package is designed to tolerate it Typical power == highest dissipation under normal loads between typical and maximum power is currently ~25% Ref: Compaq Ref: Intel CSE241 L20 Futures.33 Kahng, UCSD 2003 CSE241 L20 Futures.34 Kahng, UCSD 2003 Leveraging Typical vs. Maximum Power Package design for maximum power wastes $$$ Better approach: Set thermal design point = largest commonly occurring power dissipation in typical applications, P typical Design package to tolerate P typical (sustained) Apply dynamic thermal management techniques to restrict power to P typical - Little performance loss as MPU rarely runs apps that exceed P typical CSE241 L20 Futures.35 Kahng, UCSD 2003 Dynamic Thermal Management Simple hardware example: Intel P4 compare current across a diode (temperature sensitive) to reference current source Reference current source Temperature sensing diode Power flag Current comparator Power flag reduces internal clock frequency, limiting power and temperature Other approaches voltage scaling, speculation control Multiple sensors on large dies, placed in applicationspecific hot-spots CSE241 L20 Futures.36 Kahng, UCSD 2003

7 CSE241 L20 Futures.37 Kahng, UCSD 2003 Global Signaling and Layout Packaging limitations on power Global signaling and layout optimization Static power analysis Multi-V th +V dd + sizing Global Signaling Current global signaling paradigm insert large static CMOS repeaters to reduce wire RC delay Impending problems: Too many repeaters - 180nm processors: 22K repeaters (Itanium), 70K (Power4) - Project 1-1.5M repeaters at 45-65nm technologies Too much power - Many large repeaters = significant static and dynamic power Too much noise - Repeater clustering complicates power distribution - Inductive coupling across wide bus structures CSE241 L20 Futures.38 Kahng, UCSD 2003 Cell Layout Optimization Advanced layout techniques must allow Continuous individual device sizing Variable p/n ratios Tapered FET stacking sizes Arbitrary V th assignments within gates First cut: Cadabra 15-22% power reduction using 1 st two approaches under fixed footprint constraint Optimize specific instances of standard gates Multi-Vdd Packaging limitations on power Global signaling and layout optimization Static power analysis Multi-V th +V dd + sizing Multi-V dd Ref: Hurat, Cadabra GDSII Import Compact fixed width CSE241 L20 Futures.39 Kahng, UCSD 2003 CSE241 L20 Futures.40 Kahng, UCSD 2003 Multi-Vdd Status Lower Power Via Rich Replacement Idea: Incorporate two V dd s to reduce dynamic power Limited to a few recent Japanese multimedia processors Example 0.3 µm, 75MHz, 3.3V media processor (Toshiba) - Total power savings of 47% in logic, 69% in clock Dynamic voltage scaling of mobile processors - Transmeta Crusoe, Intel Speedstep, etc. - Not considered in this talk Very powerful technique currently applied only in low-performance designs Mentality: today s high performance parts aren t limited by power Media processors and other low speed designs have many non-critical paths 60-70% of paths have delay half the clock period After replacement, most paths become near critical What about high-speed microprocessors? % of total paths Path delay (normalized to clock period) CSE241 L20 Futures.41 Kahng, UCSD 2003 CSE241 L20 Futures.42 Kahng, UCSD 2003

8 CSE241 L20 Futures.43 Kahng, UCSD 2003 Similar Story For High-Performance IBM 480 MHz PowerPC shows over 50% of paths have delay less than half the clock period Implies that high-performance designs can benefit from multi-v dd Resizing Is Not The Right Answer Post-synthesis optimizations resize gates to recover power on non-critical paths Looks similar to pre- and post-replacement figures in media processor Ref: Akrout, JSSC98 Before postsynthesis resizing After postsynthesis resizing This is the wrong approach for nanometer design! Ref: Sirichotiyakul, DAC99 CSE241 L20 Futures.44 Kahng, UCSD 2003 Multi-Vdd Instead of Sizing Power ~ C V dd 2 f, where f is fixed Key: Reducing gate width impacts power sub-linearly Interconnect capacitance is not affected Reducing supply voltage cuts power quadratically All capacitive loads have lower voltage swing How can we minimize delay penalty at low V dd? Challenges For Multi-Vdd Area overhead Toshiba reported 7% rise in area due to placement restrictions, level converters, additional power grid routing EDA tool support for the above issues (placement, dual power routing) Noise analysis Additional shielding required between Vdd,low and Vdd,high signals? Including clock network CSE241 L20 Futures.45 Kahng, UCSD 2003 CSE241 L20 Futures.46 Kahng, UCSD 2003 Static Power Packaging limitations on power Global signaling and layout optimization Multi-V th +V dd + sizing Static power Static Power Why do we care about static power in non-portable devices? Standby power is wasted -- leaves fewer Watts for computation Worsens reliability by raising die temperatures Leakage current is a function of V th and subthreshold swing (S s ) (x10 at operating vs. room temp!) Vth Ss Ioff µ A/ µ m S s expected to remain at mv/dec (room temp) Device technology may cut this by ~20% V th reductions are mandated by scaling V dd V th has been around V dd /5 CSE241 L20 Futures.47 Kahng, UCSD 2003 CSE241 L20 Futures.48 Kahng, UCSD 2003

9 CSE241 L20 Futures.49 Kahng, UCSD 2003 Current Status No sub-1v technologies demonstrate good on/off current performance (yet expect improvements in production) Oxide scaling is running out of steam; overall ~3x I off per node Reference Intel,00 Samsung,00 NEC,00 TI,99 Intel,99 NEC,00 ITRS node T ox (Å) (electrical) (physical) V dd I on (µa/µm) I off (na/µm) Leakage Suppression Approaches Dual-V th (most common) Low-V th on critical paths, high-v th off Only cost is additional masks MTCMOS Series inserted high-v th device cuts leakage current when off (sleep mode) Delay and area penalties, control device sizing is critical Other techniques Vdd Pull Up Pull Down Vout ITRS 2000 ITRS 2000 ITRS 2000 ITRS (physical) 8-12 (physical) 6-8 (physical) 11 (uses high-k) Working numbers Substrate biasing to control V th Dual-V th domino - Use low-v th devices only in evaluate paths Vcontrol High Vth Device Parasitic Node CSE241 L20 Futures.50 Kahng, UCSD 2003 Multi-Vth + Vdd + Sizing Packaging limitations on power Global signaling and layout optimization Static power analysis Multi-V th +V dd + sizing Multi-Everything Need an approach that selects between speed, static power, and dynamic power Should be scalable to nanometer design Rules out dual-v th domino or other dynamic logic families (low supplies kill performance advantages) Techniques mentioned so far Flexible, optimized cell layouts Dual-V th Put them all together CSE241 L20 Futures.51 Kahng, UCSD 2003 CSE241 L20 Futures.52 Kahng, UCSD 2003 Multi-Vdd Can Leverage Vth s Existing designs using multi-v dd do not alter V th in low- V dd cells Highly sub-optimal, delay is fully penalized Limits cell replacement limits power savings Much better solution: reduce V th in low-v dd cells to carefully balance delay, static power, and dynamic power Enforce technology scaling within a chip whenever we reduce V dd, we also reduce V th to maintain speed CSE241 L20 Futures.53 Kahng, UCSD 2003 Multi-Vdd + Vth Negates Delay Penalty Delay ~ CV dd /I on Scenarios Constant V th (current paradigm) Scale V th to maintain constant static power Scale V th to reduce static power linearly with V dd Delay penalty is substantially 4 offset I on is very sensitive to V th at V dd < 1V P static reduces with V dd due to linear term and smaller I off (I on and DIBL ) Result: V dd = 0.2V gives 89% dynamic power reduction, constant P static, 29% delay increase Delay (Normalized) Constant V th (0.11V) Scaled V th, Constant P static Conservatively Scaled V th 35-nm, nominal V dd = 0.6V V dd (V) CSE241 L20 Futures.54 Kahng, UCSD

10 CSE241 L20 Futures.55 Kahng, UCSD 2003 Now Add Sizing + multi-v th + sizing/cell layout optimization attacks power from many angles (multi-dimensional) Depending on criticality and switching activities, noncritical gates can be: Assigned Vdd,low Assigned Vdd,low + lower Vth Assigned Vth,high Downsized (at the individual transistor level if advantageous) Assigned Vdd,low and upsized - For gates that cannot tolerate Vdd,low delay, this can be power efficient And others Intra-Cell Vth Assignments Finer granularity of V th assignment Assigning top/bottom NMOS/PMOS in a stack to low-v th (other devices high-v th ) balances speed and leakage - 62% average leakage reduction compared to all-low V th gate (3- in NAND) - Worst-case delay is 7.2% faster than all-high V th gate Added manufacturing costs hard to quantify (stricter requirements on process recipes, longer process development times) Still unclear whether this is beneficial and costeffective CSE241 L20 Futures.56 Kahng, UCSD 2003 Summary Power density must saturate to maintain affordable packaging options 50 W/cm 2 means W for future large MPUs Dynamic thermal management saves 25% on packaging power budget will leverage multiple V th s to offset delay penalty at low V dd More widespread re-assignment to Vdd,low Use V dd first instead of re-sizing to take advantage of large path slacks Anticipated power savings of 50-80% Static power also addressed through multi-v th + V dd + sizing V th difficult to control in ultra-short channels Intra-cell V th assignment + MTCMOS/variants + sleep modes CSE241 L20 Futures.57 Kahng, UCSD 2003 Conclusions Future High-End MPU Many cores, lots of cache (possibly 1-T SRAM or edram) Memory/logic breakdown is set by power density limitations (packaging/cost) - By end of roadmap, <10% of chip will be logic CPU cores, up to 0.5 GB SRAM Several V dd s and V th s 2 V dd s and 3 V th s are possible Aggressive use of sleep modes in both logic and memory Breakdown of P static and P dynamic?? Optimal could be larger than we think: 30+% static power Global communication using low-swing, current-steering, differential signaling fabrics Process variation, particularly V th control, will be crucial Design tools must enable designers to implement all the above CSE241 L20 Futures.58 Kahng, UCSD 2003

ECE260B CSE241A Winter Design Styles Multi-Vdd/ Vth Designs. Website: / vlsicad.ucsd.edu/ courses/ ece260bw05

ECE260B CSE241A Winter Design Styles Multi-Vdd/ Vth Designs. Website:   / vlsicad.ucsd.edu/ courses/ ece260bw05 ECE260B CSE241A Winter 2005 Design Styles Multi-Vdd/ Vth Designs Website: / courses/ ece260bw05 ECE 260B CSE 241A Design Styles 1 The Design Problem Source: sematech97 A growing gap between design complexity

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

An Overview of Static Power Dissipation

An Overview of Static Power Dissipation An Overview of Static Power Dissipation Jayanth Srinivasan 1 Introduction Power consumption is an increasingly important issue in general purpose processors, particularly in the mobile computing segment.

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

1 Digital EE141 Integrated Circuits 2nd Introduction

1 Digital EE141 Integrated Circuits 2nd Introduction Digital Integrated Circuits Introduction 1 What is this lecture about? Introduction to digital integrated circuits + low power circuits Issues in digital design The CMOS inverter Combinational logic structures

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

Advanced Digital Design

Advanced Digital Design Advanced Digital Design Introduction & Motivation by A. Steininger and M. Delvai Vienna University of Technology Outline Challenges in Digital Design The Role of Time in the Design The Fundamental Design

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Introduction

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Introduction Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

New Approaches to Total Power Reduction Including Runtime Leakage. Leakage

New Approaches to Total Power Reduction Including Runtime Leakage. Leakage 1 0 0 % 8 0 % 6 0 % 4 0 % 2 0 % 0 % - 2 0 % - 4 0 % - 6 0 % New Approaches to Total Power Reduction Including Runtime Leakage Dennis Sylvester University of Michigan, Ann Arbor Electrical Engineering and

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Lecture 01: the big picture Course objective Brief tour of IC physical design

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 CPE/EE 427, CPE 527 VLSI Design I L02: Design Metrics Department of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-03f

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3 EE141 Fall 2005 Lecture 2 Design Metrics Admin Page Everyone should have a UNIX account on Cory! This will allow you to run HSPICE! If you do not have an account, check: http://www-inst.eecs.berkeley.edu/usr/

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect

Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect Introduction - So far, have considered transistor-based logic in the face of technology scaling - Interconnect effects are also of concern

More information

Managing Cross-talk Noise

Managing Cross-talk Noise Managing Cross-talk Noise Rajendran Panda Motorola Inc., Austin, TX Advanced Tools Organization Central in-house CAD tool development and support organization catering to the needs of all design teams

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Practical Information

Practical Information EE241 - Spring 2010 Advanced Digital Integrated Circuits TuTh 3:30-5pm 293 Cory Practical Information Instructor: Borivoje Nikolić 550B Cory Hall, 3-9297, bora@eecs Office hours: M 10:30am-12pm Reader:

More information

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Ramon Canal NCD Master MIRI. NCD Master MIRI 1 Wattch, Hotspot, Hotleakage, McPAT http://www.eecs.harvard.edu/~dbrooks/wattch-form.html http://lava.cs.virginia.edu/hotspot http://lava.cs.virginia.edu/hotleakage http://www.hpl.hp.com/research/mcpat/

More information

Signal Integrity Management in an SoC Physical Design Flow

Signal Integrity Management in an SoC Physical Design Flow Signal Integrity Management in an SoC Physical Design Flow Murat Becer Ravi Vaidyanathan Chanhee Oh Rajendran Panda Motorola, Inc., Austin, TX Presenter: Rajendran Panda Talk Outline Functional and Delay

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar Testing of Complex Digital Chips Juri Schmidt Advanced Seminar - 11.02.2013 Outline Motivation Why testing is necessary Background Chip manufacturing Yield Reasons for bad Chips Design for Testability

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec20 cwliu@twins.ee.nctu.edu.tw

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

Deep Trench Capacitors for Switched Capacitor Voltage Converters

Deep Trench Capacitors for Switched Capacitor Voltage Converters Deep Trench Capacitors for Switched Capacitor Voltage Converters Jae-sun Seo, Albert Young, Robert Montoye, Leland Chang IBM T. J. Watson Research Center 3 rd International Workshop for Power Supply on

More information

Research in Support of the Die / Package Interface

Research in Support of the Die / Package Interface Research in Support of the Die / Package Interface Introduction As the microelectronics industry continues to scale down CMOS in accordance with Moore s Law and the ITRS roadmap, the minimum feature size

More information

Chapter 1 Introduction to VLSI Testing

Chapter 1 Introduction to VLSI Testing Chapter 1 Introduction to VLSI Testing 2 Goal of this Lecture l Understand the process of testing l Familiar with terms used in testing l View testing as a problem of economics 3 Introduction to IC Testing

More information

Design Challenges in Multi-GHz Microprocessors

Design Challenges in Multi-GHz Microprocessors Design Challenges in Multi-GHz Microprocessors Bill Herrick Director, Alpha Microprocessor Development www.compaq.com Introduction Moore s Law ( Law (the trend that the demand for IC functions and the

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Low Power Design in VLSI

Low Power Design in VLSI Low Power Design in VLSI Evolution in Power Dissipation: Why worry about power? Heat Dissipation source : arpa-esto microprocessor power dissipation DEC 21164 Computers Defined by Watts not MIPS: µwatt

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 2 1.1 MOTIVATION FOR LOW POWER CIRCUIT DESIGN Low power circuit design has emerged as a principal theme in today s electronics industry. In the past, major concerns among researchers

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective S. P. Mohanty, R. Velagapudi and E. Kougianos Dept of Computer Science and Engineering University of North Texas

More information

Fast Placement Optimization of Power Supply Pads

Fast Placement Optimization of Power Supply Pads Fast Placement Optimization of Power Supply Pads Yu Zhong Martin D. F. Wong Dept. of Electrical and Computer Engineering Dept. of Electrical and Computer Engineering Univ. of Illinois at Urbana-Champaign

More information

MICROPROCESSOR TECHNOLOGY

MICROPROCESSOR TECHNOLOGY MICROPROCESSOR TECHNOLOGY Assis. Prof. Hossam El-Din Moustafa Lecture 3 Ch.1 The Evolution of The Microprocessor 17-Feb-15 1 Chapter Objectives Introduce the microprocessor evolution from transistors to

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

CMOS Process Variations: A Critical Operation Point Hypothesis

CMOS Process Variations: A Critical Operation Point Hypothesis CMOS Process Variations: A Critical Operation Point Hypothesis Janak H. Patel Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign jhpatel@uiuc.edu Computer Systems

More information

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University Low-Power VLSI Seong-Ook Jung 2011. 5. 6. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical l & Electronic Engineering i Contents 1. Introduction 2. Power classification 3. Power

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting Jonggab Kil Intel Corporation 1900 Prairie City Road Folsom, CA 95630 +1-916-356-9968 jonggab.kil@intel.com

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 5: Transistor Models Projects Groups of 3 Proposals in two weeks (2/20) Topics: Soft errors in datapaths Soft errors in memory Integration

More information

Future Performance Challenges in Nanometer Design Dennis Sylvester University of Michigan Ann Arbor, MI

Future Performance Challenges in Nanometer Design Dennis Sylvester University of Michigan Ann Arbor, MI Future Performance Challenges in Nanometer Design Dennis Sylvester University of Michigan Ann Arbor, MI 4809-222 dennis@eecs.umich.edu Himanshu Kaul University of Michigan Ann Arbor, MI 4809-222 hkaul@engin.umich.edu

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology UDC 621.3.049.771.14:621.396.949 A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology VAtsushi Tsuchiya VTetsuyoshi Shiota VShoichiro Kawashima (Manuscript received December 8, 1999) A 0.9

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

BICMOS Technology and Fabrication

BICMOS Technology and Fabrication 12-1 BICMOS Technology and Fabrication 12-2 Combines Bipolar and CMOS transistors in a single integrated circuit By retaining benefits of bipolar and CMOS, BiCMOS is able to achieve VLSI circuits with

More information

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture Overview 1 Trends in Microprocessor Architecture R05 Robert Mullins Computer architecture Scaling performance and CMOS Where have performance gains come from? Modern superscalar processors The limits of

More information

CMOS circuits and technology limits

CMOS circuits and technology limits Section I CMOS circuits and technology limits 1 Energy efficiency limits of digital circuits based on CMOS transistors Elad Alon 1.1 Overview Over the past several decades, CMOS (complementary metal oxide

More information

Lecture 13: Interconnects in CMOS Technology

Lecture 13: Interconnects in CMOS Technology Lecture 13: Interconnects in CMOS Technology Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 10/18/18 VLSI-1 Class Notes Introduction Chips are mostly made of wires

More information

Lecture 9: Clocking for High Performance Processors

Lecture 9: Clocking for High Performance Processors Lecture 9: Clocking for High Performance Processors Computer Systems Lab Stanford University horowitz@stanford.edu Copyright 2001 Mark Horowitz EE371 Lecture 9-1 Horowitz Overview Reading Bailey Stojanovic

More information

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Jan. 28. 2011 Nobuyuki Nishiguchi Semiconductor Technology Advanced Research Center (STARC) ASP-DAC

More information

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

IFSIN. WEB PAGE Fall ://weble.upc.es/ifsin/

IFSIN. WEB PAGE   Fall ://weble.upc.es/ifsin/ IFSIN IMPLEMENTACIÓ FÍSICA DE SISTEMES INTEGRATS NANOMÈTRICS IMPLEMENTACIÓN N FÍSICA F DE SISTEMAS INTEGRADOS NANOMÉTRICOS PHYSICAL IMPLEMENTATION OF NANOMETER INTEGRATED SYSTEMS Fall 2008 Prof. Xavier

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment 1014 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 7, JULY 2005 Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment Dongwoo Lee, Student

More information

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design Anu Tonk Department of Electronics Engineering, YMCA University, Faridabad, Haryana tonkanu.saroha@gmail.com Shilpa Goyal

More information

Deep Submicron Interconnect. 0.18um vs. 013um Interconnect

Deep Submicron Interconnect. 0.18um vs. 013um Interconnect Deep Submicron Interconnect R. Dept. of ECE University of British Columbia res@ece.ubc.ca 0.18um vs. 013um Interconnect 0.18µm 5-layer Al Metal Process 0.13µm 8-layer Cu Metal Process 1 Interconnect Scaling

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

Topics. Low Power Techniques. Based on Penn State CSE477 Lecture Notes 2002 M.J. Irwin and adapted from Digital Integrated Circuits 2002 J.

Topics. Low Power Techniques. Based on Penn State CSE477 Lecture Notes 2002 M.J. Irwin and adapted from Digital Integrated Circuits 2002 J. Topics Low Power Techniques Based on Penn State CSE477 Lecture Notes 2002 M.J. Irwin and adapted from Digital Integrated Circuits 2002 J. Rabaey Review: Energy & Power Equations E = C L V 2 DD P 0 1 +

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

BASICS: TECHNOLOGIES. EEC 116, B. Baas

BASICS: TECHNOLOGIES. EEC 116, B. Baas BASICS: TECHNOLOGIES EEC 116, B. Baas 97 Minimum Feature Size Fabrication technologies (often called just technologies) are named after their minimum feature size which is generally the minimum gate length

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

CROSS-COUPLING capacitance and inductance have. Performance Optimization of Critical Nets Through Active Shielding

CROSS-COUPLING capacitance and inductance have. Performance Optimization of Critical Nets Through Active Shielding IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 51, NO. 12, DECEMBER 2004 2417 Performance Optimization of Critical Nets Through Active Shielding Himanshu Kaul, Student Member, IEEE,

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus Course Content Low Power VLSI System Design Lecture 1: Introduction Prof. R. Iris Bahar E September 6, 2017 Course focus low power and thermal-aware design digital design, from devices to architecture

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents Array subsystems Gate arrays technology Sea-of-gates Standard cell Macrocell

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

Lecture 13 CMOS Power Dissipation

Lecture 13 CMOS Power Dissipation EE 471: Transport Phenomena in Solid State Devices Spring 2018 Lecture 13 CMOS Power Dissipation Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology Hoboken,

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information