CHAPTER 1 INTRODUCTION

Size: px
Start display at page:

Download "CHAPTER 1 INTRODUCTION"

Transcription

1 CHAPTER 1 INTRODUCTION

2 2 1.1 MOTIVATION FOR LOW POWER CIRCUIT DESIGN Low power circuit design has emerged as a principal theme in today s electronics industry. In the past, major concerns among researchers and designers for designing integrated circuits were on area, speed, and cost; while secondary importance was paid to power dissipation. In recent years, however, this scenario has changed and now developing of different circuit techniques for low power circuit design is an important research area. For long, low power circuit design was only used by circuit designers in specific areas, such as medical electronic implant where low power dissipation was a crucial factor. Low power circuit design has now become a subject of interest, which is evident from the surge of related activities in the engineering and research community. Several factors have contributed to this trend. The primary driving factor behind low power circuit design is the remarkable growth and success of portable systems that are driven by batteries. In these systems, low power dissipation is a critical design concern. Limited amounts of energy stored in portable batteries require extensive power management techniques to increase their lifetime. Battery life is becoming a product differentiator in battery operated portable electronic systems. Being the heaviest and the biggest component in many portable systems, batteries have not experienced the similar rapid density growth as compared to the growth in electronic devices. The specific weight (stored energy per unit weight) of batteries barely doubles in several years. Besides technological issues, further increase in battery specific weight will soon draw safety concerns because the energy density is approaching to that of explosive chemicals. With advanced nickel-metal-hydride (secondary) battery technology, offering around 65 watt hour/kilogram, an unacceptable 6 kilograms of battery would be required for 10 hours of operation between recharges (Kohler et al. 2002). Even with new battery technologies, such as rechargeable lithium ion or lithium polymer cells, there would not be too much improvement in the expected battery lifetime. With the increasing demand for battery operated portable systems, it is important to increase the battery lifetime as much as possible, since it is the limited battery lifetime that typically imposes strict restrictions on the overall power dissipation in such systems. Although, the battery industry has been making efforts to develop portable batteries with a higher energy capacity, a revolutionary increase in the energy capacity does not seem imminent. In the absence of effective low power circuit design

3 3 techniques, present and future portable systems will either suffer from very short battery life or very heavy battery pack. So, portable systems that are powered with battery require low power circuit design to minimize the drainage of battery power for increasing the battery lifetime. Low power circuit design is highly desirable for burst mode type system, where computation occurs for only short intervals, and the system is inactive for the majority of time. For such type of battery operated systems, it is highly unacceptable to have excessive drainage of useful battery power during the long standby period. So, low power circuit design is also essential for these battery operated systems to reduce the excessive drainage of battery power during the long idle period. To further improve the performance and to integrate more functions on a chip, the feature size of a MOS transistor continues to shrink. As a result, the power dissipation per unit chip area also increases, which in turn increases the chip temperature. The usual scaling trends in MOS transistors are facing problems due to the extreme rise in the device temperature (Borkar 2002). With clock speed exceeding several GHz and switching millions of transistors, chip temperature has reached at such an alarming level that requires expensive packaging and efficient system cooling techniques to decrease the system temperature (Bursky 1995). Fig. 1.1 shows an increase in power density of Intel processors with the advancement in technology generation, year after year (Borkar 2002, Esmaeilzadeh et al. 2013). Power densities of high performance chips have also increased significantly over the last twenty years. The increased power dissipation and power density in electronic systems lead to higher operating system temperature. This high power dissipation requires special printed circuit board technology to deliver large currents from the power supply to various devices in the system. Since the dissipated heat needs to be removed to maintain an acceptable chip temperature, so expensive system cooling and packaging techniques are required in portable and high performance systems, such as microprocessors. This increases the overall cost of the system. So, low power circuit design is becoming an essential criterion in designing an energy efficient system.

4 4 Fig.1.1 Power density of Intel processors In addition to cost, the issue of reliability in an electronic system also motivates for low power circuit design. High power dissipation systems often run hot, and the high temperature tends to accelerate the silicon failure mechanism. Every 10 o C increase in the operating temperature roughly doubles an electronic component s failure rate (Charles 1994). With the down scaling in technology, the power density of a system increases, which also increases its current density. Large current densities can cause serious problems such as electromigration and hot carrier induced device degradation (Quader et al. 1994). In addition, the heat gradient across the chip also causes thermal and mechanical stress, leading to device breakdown. Serious reliability issues arise in electronic systems at such high temperatures. Therefore, the reliability of a system can only be enhanced if the power dissipation by the system is reduced by adopting effective low power circuit design techniques. Another major demand for low power circuit design comes from environmental concerns. Modern offices are now furnished with office automation equipments that consume large amount of power. Computers are the fastest growing electricity loads in commercial sector. Since electricity generation is a major source of air pollution, so inefficient energy usage by computing equipments indirectly contribute to an increase in the environmental pollution (Yeap 1988). This problem has prompted the environmental protection agencies to promote the energy star program that sets guidelines for the energy usage by various computing equipments (Murugesan 2008). This trend has led to the development of the concept of green computers, which consume lower power, and

5 5 are therefore environmental friendly. Emerging policies that work for a low environmental impact from electronic systems also encourage low power circuit design. Today, many desktop computers, monitors and printers have been certified as energy star compliant. Consequently, reducing the power dissipation by utilizing low power circuit design is becoming a top priority issue. The motivation for low power circuit design differs from applications to applications. In case of battery operated portable systems, such as cellular phones and laptops, the overall goal of power minimization using low power circuit design is to keep the battery lifetime and weight reasonable. For high performance and non battery operated systems, such as workstations and multimedia digital signal processors, the overall goal of power minimization is to reduce the overall system cost (cooling, packaging and energy bill) while ensuring long term device reliability. These different requirements are the motivating factors for low power circuit design in electronic systems. 1.2 BACKGROUND Reducing power dissipation has now become a critical design concern in almost all electronic systems. Reduction in the supply voltage is the most significant method for reducing the power dissipation because of the quadratic relationship between the supply voltage and the dynamic power dissipation (Wang et al. 2012). To compensate for the performance loss due to a lower supply voltage, threshold voltage of MOS transistors is also reduced. However, this causes an increase in the leakage current. Among all leakage currents, subthreshold leakage current is the most dominant (Deepaksubramanyan et al. 2007). This leakage current will become a large component in the total power dissipation with further down scaling in technology. Therefore, today an important research area in achieving low power dissipation is to develop effective circuit techniques to reduce this leakage current that is mainly caused by the reduction in the threshold voltage of MOS transistors and down scaling in technology (Roy et al. 2003). Technology scaling has allowed more functions per unit area, and lower dynamic power dissipation, but has also increased the leakage power dissipation exponentially. An analysis of trends based on the International Technology Roadmap for Semiconductors (ITRS) shows that the leakage power dissipation is beginning to

6 6 exceed the dynamic power dissipation with the down scaling in technology generation, which is shown in Fig. 1.2 (Elgharbawy et al. 2005, Calhoun et al. 2009). In the past, circuit design techniques and architectures ignored the effects of leakage power dissipation because it was insignificant in comparison with the dynamic power dissipation. However, in modern technologies, the role of subthreshold leakage power dissipation cannot be ignored and now it has become dominant in the overall power dissipation in deep submicron and nanoscale technologies (Roy et al. 2003). Fig. 1.2 Leakage versus dynamic power dissipation trends with technology scaling Fig. 1.3 shows the power dissipation in standard CMOS circuits using long channel MOS transistors without scaling in the supply and threshold voltage. Fig. 1.4 demonstrates the increase in the standby leakage power dissipation in standard CMOS circuits using short channel MOS transistors. This demonstrate that the increase in the standby leakage power with technology scaling due to the use of short channel MOS transistors completely cancels the benefit of reduced dynamic and leakage power in active mode with the reduction in the supply voltage (Anis et al. 2010). Although total power dissipation (dynamic and leakage) during the active mode is reduced with the scaling in the supply voltage, further power dissipation can only be reduced if standby leakage power dissipation is controlled wherever possible, since this leakage power will make up a larger percentage in the overall power dissipation with further

7 7 scaling down in technology. Fig. 1.5 shows reduction in power dissipation in standard CMOS circuits using short channel MOS transistors with supply and threshold voltage scaling and using improved standby leakage reduction techniques. During long idle or standby period, when no computation is taking place, the standby power dissipation tends to increase further since leakage currents are large. So, low power design methodologies used in the past, based on dynamic power considerations only, are not effective anymore and need to be reconsidered. Fig. 1.3 Power dissipation in standard CMOS circuits using long channel MOS transistors without supply and threshold voltage scaling Fig. 1.4 Power dissipation in standard CMOS circuits using short channel MOS transistors with supply and threshold voltage scaling Fig. 1.5 Power dissipation in standard CMOS circuits using short channel MOS transistors with supply and threshold voltage scaling and using improved standby leakage reduction techniques

8 8 For systems that have long idle or standby period, such as personal digital assistants, cell phones, and wireless sensor network nodes, subthreshold leakage power dissipation in the standby mode is highly undesirable. The impact of standby leakage power is more evident for systems that have a low activity factor and remain in idle or standby state for the majority of time. Standby leakage power becomes a large percentage of the total power dissipation in these systems. These systems approximately spend more than 90% of their time in the standby mode (Hirabayashi et al. 2001). Thus, a large percentage of the total power is wasted in the standby period. Fig. 1.6 shows savings factor curve for a 5% duty cycle system with the down scaling in technology (Anis et al. 2010). For this system, 20x savings factor is significantly degraded each year with the scaling down in technology generation. Burst mode type systems are a class of low duty cycle systems, where most of the activity is naturally grouped together in time frame. These systems are also known as event driven systems, where a burst of activity occurs in response to an input event, and the system is otherwise idle. Some examples of burst mode type systems are sensor network nodes, personal digital assistants (PDAs), and cell phones. In case of a sensor network node, the system is usually waiting for a new data packet, a periodic sensor reading, or user input. When one of these events occurs, the node processes it for completion and then waits for the next one. Similarly most of signal processing processors in cell phones remain in the idle state until a call is being made or received. For this class of burst mode type system, it is extremely wasteful to have a large leakage current during the idle or standby period because no useful works are being done during this period. This problem is especially severe for portable battery operated burst mode type systems, where battery power is needlessly drained during the long idle period. So, this standby leakage power dissipation should be reduced significantly by using effective standby subthreshold leakage control techniques.

9 9 Fig. 1.6 Savings factor curve for a 5% duty cycle system The most commonly used subthreshold leakage reduction techniques available in the literature are source biasing technique (Bellaouar et al. 1995), stack technique (Johnson et al. 2002), dual V TH partitioning technique (Hirabayashi et al. 2001), variable threshold CMOS (VTCMOS) technique (Hyunsik et al. 2001), sleepy keeper technique (Kim et al. 2006), multi-threshold CMOS (MTCMOS) technique (Mutoh et al. 1995), and super cutoff CMOS (SCCMOS) technique (Kawaguchi et al. 2000). Out of these techniques, MTCMOS technique, and SCCMOS technique are mostly used for reducing the standby subthreshold leakage power dissipation. In MTCMOS technique, high threshold voltage MOS transistors are used to disconnect the power supply and the ground during the standby period, which substantially reduces the standby leakage power. However, in this technique circuit delay is increased by the use of high threshold voltage MOS transistors. The main advantage of SCCMOS technique over MTCMOS technique is the reduction in the circuit delay due to the use of low V TH sleep MOS transistors. However, in SCCMOS technique, a complex controller circuit is used for providing both negative and positive gate voltages to completely turn off sleep nmos and sleep pmos transistors respectively. Existing standby subthreshold leakage reduction techniques, such as MTCMOS technique and SCCMOS technique, still suffer from higher standby subthreshold leakage power dissipation, which can aggregate to a larger amount of power wastage during the long idle period, especially in burst mode

10 10 type systems. Hence, there is a great need for improved circuit techniques for further minimizing this standby leakage power dissipation for saving useful battery power in the standby period. 1.3 RESEARCH OBJECTIVES The main objective of this thesis is to design low power digital circuits in deep submicron and nanoscale technologies by effectively reducing the standby subthreshold leakage power dissipation. The research work presented in this thesis offers a set of novel and improved standby subthreshold leakage reduction techniques by utilizing proper selection of low power design methodologies at the transistor and circuit levels of abstraction. In this thesis, main focus is given on further reduction of this standby leakage power dissipation since this leakage power contributes a larger percentage in the overall power dissipation with further down scaling in technology, especially in burst mode type systems. Literature survey reveals that a wide variety of circuit techniques (Bellaouar et al. 1995, Johnson et al. 2002, Hirabayashi et al. 2001, Hyunsik et al. 2001, Kim et al. 2006, Mutoh et al. 1995, Kawaguchi et al. 2000) are available for reducing the subthreshold leakage power dissipation. However, MTCMOS technique (Mutoh et al. 1995) and SCCMOS technique (Kawaguchi et al. 2000) are the major existing techniques that are mainly used for reducing the standby subthreshold leakage power. Existing standby subthreshold leakage control techniques still dissipate a larger leakage power in the standby mode. Study of literature reveals that there is still further scope for designing improved standby subthreshold leakage control techniques, which can further reduce this standby power. Hence, these existing techniques have to be suitably modified by selecting proper low power design methodologies for further reducing this leakage power dissipation. Burst mode type systems that are driven by portable batteries are heavily affected by the standby leakage power because these systems remain in the standby state for the majority of time interval. In these systems, useful battery power is drained out during the long standby period. So, there is a great need for improved circuit technique to further reduce and minimize this standby subthreshold leakage power dissipation so that the battery lifetime can be increased significantly. The drainage of

11 11 battery power during the long standby period can be effectively minimized, after proper and effective reduction of this standby leakage power dissipation. This thesis is the record of some new and efficient circuit techniques for proper and effective reduction of the standby subthreshold leakage power dissipation.the proposed circuit techniques are based on CMOS bulk technology and advanced siliconon-insulator (SOI) CMOS technology. The suitability of the proposed circuit techniques are validated through layout design and simulation of different digital circuits using BSIM4 MOS parameter model. Layout design and simulation of various digital circuits using the proposed and existing standby subthreshold leakage control techniques are performed by using Microwind ver. 3.1 EDA tool. Existing standby leakage control techniques are compared with the proposed techniques in terms of dissipating the least standby leakage power dissipation. This thesis focuses on circuit level techniques to handle the exponentially increased standby subthreshold leakage power dissipation that is mainly caused by the down scaling in technology and reduction in the threshold voltage of MOS transistors. It is found that by utilizing novel and improved circuit techniques, this standby leakage power dissipation can be further reduced. 1.4 THESIS OVERVIEW This thesis presents new and improved circuit design techniques for effective reduction of the standby subthreshold leakage power dissipation. The main content of the dissertation is divided into eight chapters. Chapter 1 describes about the motivation for low power circuit design. This chapter also describes about the background and research objectives for low power circuit design, with more emphasis on minimizing the standby subthreshold leakage power dissipation. Among all the leakage currents, subthreshold leakage current is the dominant source of leakage power dissipation. So, by using effective standby leakage control techniques, leakage power in the standby mode can be reduced further. Finally, organization of the thesis is presented. In chapter 2, main sources of power dissipation in digital logic circuits are reviewed, with more emphasis on the subthreshold leakage power. The increasing impact of standby subthreshold leakage power dissipation with the down scaling in technology is then presented. Existing circuit techniques on controlling this standby

12 12 subthreshold leakage power dissipation is discussed in detail in this chapter. Finally, conclusion is provided at the end of this chapter. The contributions of this research work are presented in chapters 3 to 6. In chapter 3, performance of a two input NAND gate using various existing subthreshold leakage control techniques are analyzed. Static power dissipation and static power dissipation reduction factor for a two input NAND gate are compared using existing subthreshold leakage control techniques in 45nm CMOS technology. In the end, conclusion is provided. Chapter 4 presents new hybrid circuit design techniques in CMOS bulk technology for controlling the standby subthreshold leakage power dissipation. Methodologies adopted for designing the proposed circuit techniques are discussed in detail. The suitability of the proposed circuit techniques is validated through layout design and simulation of a two input AND gate in 65nm CMOS technology. Existing standby subthreshold leakage control techniques, such as MTCMOS technique, and SCCMOS technique are compared with proposed circuit techniques in terms of dissipation of standby subthreshold leakage power. It is found that the proposed technique gives better results than the previous techniques. Conclusion is provided at the end of this chapter. Chapter 5 presents another novel circuit technique in CMOS bulk technology for reduction of the standby subthreshold leakage power dissipation. Circuit design methodologies for designing this proposed technique are described in detail. Layout design of digital logic circuits such as a two input XOR gate, a two input XNOR gate, a four input XNOR gate, and a four bit ALU are simulated using the proposed and existing techniques in 45nm CMOS technology. The suitability of proposed technique is justified by comparing with other existing techniques in terms of dissipation of standby subthreshold leakage power dissipation. In chapter 6, an improved silicon-on-insulator (SOI) CMOS technology based circuit technique for effectively reducing the standby subthreshold leakage power dissipation is presented. Methodologies adopted to design this proposed technique are described in this chapter. The proposed circuit technique is validated through layout design and simulation of a one bit full adder circuit in 120nm SOI CMOS technology. Simulation results and observations using the proposed and existing techniques are

13 13 discussed in this chapter. The proposed SOI CMOS technology based circuit technique provides an effective and efficient solution for further reduction of the standby subthreshold leakage power dissipation. Chapter 7 presents comparison of popular existing circuit techniques with the proposed circuit techniques (hybrid MTCMOS complete stack technique, hybrid MTCMOS partial stack technique, hybrid SCCMOS complete stack technique, hybrid SCCMOS partial stack technique, a novel circuit technique, and an improved SOI CMOS technology based circuit technique) in terms of standby subthreshold leakage power dissipation. It is observed that the proposed improved SOI CMOS technology based circuit technique dissipates the least subthreshold leakage power in the standby mode in comparison with other proposed and existing circuit techniques in CMOS bulk technology. In chapter 8, entire results and observations of the thesis are summarized and critically reviewed.

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique International Journal of Electrical Engineering. ISSN 0974-2158 Volume 10, Number 3 (2017), pp. 323-335 International Research Publication House http://www.irphouse.com Minimizing the Sub Threshold Leakage

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT Kaushal Kumar Nigam 1, Ashok Tiwari 2 Department of Electronics Sciences, University of Delhi, New Delhi 110005, India 1 Department of Electronic

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Anjana R 1, Dr. Ajay kumar somkuwar 2 1 Asst.Prof & ECE, Laxmi Institute of Technology, Gujarat 2 Professor

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre Regime

Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre Regime IJIRST International Journal for Innovative Research in Science & Technology Volume 1 Issue 12 May 2015 ISSN (online): 2349-6010 Power Efficiency of Half Adder Design using MTCMOS Technique in 35 Nanometre

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design Anu Tonk Department of Electronics Engineering, YMCA University, Faridabad, Haryana tonkanu.saroha@gmail.com Shilpa Goyal

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches Indian Journal of Science and Technology, Vol 9(17), DOI: 10.17485/ijst/2016/v9i17/93111, May 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Study and Analysis of CMOS Carry Look Ahead Adder with

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages A. Suvir Vikram *, Mrs. K. Srilakshmi ** And Mrs. Y. Syamala *** * M.Tech,

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES R. C Ismail, S. A. Z Murad and M. N. M Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Arau, Perlis, Malaysia

More information

UNIT-1 Fundamentals of Low Power VLSI Design

UNIT-1 Fundamentals of Low Power VLSI Design UNIT-1 Fundamentals of Low Power VLSI Design Need for Low Power Circuit Design: The increasing prominence of portable systems and the need to limit power consumption (and hence, heat dissipation) in very-high

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com COMPARISON AMONG DIFFERENT INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN HARSHVARDHAN UPADHYAY* ABHISHEK CHOUBEY**

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

Optimization of power in different circuits using MTCMOS Technique

Optimization of power in different circuits using MTCMOS Technique Optimization of power in different circuits using MTCMOS Technique 1 G.Raghu Nandan Reddy, 2 T.V. Ananthalakshmi Department of ECE, SRM University Chennai. 1 Raghunandhan424@gmail.com, 2 ananthalakshmi.tv@ktr.srmuniv.ac.in

More information

Leakage Power Reduction in CMOS VLSI

Leakage Power Reduction in CMOS VLSI Leakage Power Reduction in CMOS VLSI 1 Subrat Mahalik Department of ECE, Mallareddy Engineering College (Autonomous), Hyderabad, India 2 M. Bhanu Teja Department of ECE, Mallareddy Engineering College

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

MULTITHRESHOLD CMOS SLEEP STACK AND LOGIC STACK TECHNIQUE FOR DIGITAL CIRCUIT DESIGN

MULTITHRESHOLD CMOS SLEEP STACK AND LOGIC STACK TECHNIQUE FOR DIGITAL CIRCUIT DESIGN MULTITHRESHOLD CMOS SLEEP STACK AND LOGIC STACK TECHNIQUE FOR DIGITAL CIRCUIT DESIGN M. Manoranjani 1 and T. Ravi 2 1 M.Tech, VLSI Design, Sathyabama University, Chennai, India 2 Department of Electronics

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

Study of Outpouring Power Diminution Technique in CMOS Circuits

Study of Outpouring Power Diminution Technique in CMOS Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 11, November 2014,

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

An Overview of Static Power Dissipation

An Overview of Static Power Dissipation An Overview of Static Power Dissipation Jayanth Srinivasan 1 Introduction Power consumption is an increasingly important issue in general purpose processors, particularly in the mobile computing segment.

More information

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Mr. Y.Satish Kumar M.tech Student, Siddhartha Institute of Technology & Sciences. Mr. G.Srinivas, M.Tech Associate

More information

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits Circuits and Systems, 2015, 6, 60-69 Published Online March 2015 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2015.63007 Design of Ultra-Low Power PMOS and NMOS for Nano Scale

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER International Journal Of Advance Research In Science And Engineering http:// LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER Raju Hebbale 1, Pallavi Hiremath 2 1,2 Department

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS

STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS Mrs. K. Srilakshmi 1, Mrs. Y. Syamala 2 and A. Suvir Vikram 3 1 Department of Electronics and Communication

More information

Leakage Power Reduction in CMOS VLSI Circuits

Leakage Power Reduction in CMOS VLSI Circuits Leakage Power Reduction in CMOS VLSI Circuits Pushpa Saini M.E. Student, Department of Electronics and Communication Engineering NITTTR, Chandigarh Rajesh Mehra Associate Professor, Department of Electronics

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information

Performance Analysis of SRAM Cell Using DG-MOSFETs

Performance Analysis of SRAM Cell Using DG-MOSFETs Performance Analysis of SRAM Cell Using DG-MOSFETs Mukeem Ahmad Abhinav Vishoni School of ECE (VLSI), Lovely Professional University,Phagwara, Punjab-144401 Abstract As the technology in electronic circuits

More information

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b.

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. a PGMICRO, Federal University of Rio Grande do Sul, Porto Alegre, Brazil b Institute

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

Comparison of Power Dissipation in inverter using SVL Techniques

Comparison of Power Dissipation in inverter using SVL Techniques Comparison of Power Dissipation in inverter using SVL Techniques K. Kalai Selvi Assistant Professor, Dept. of Electronics & Communication Engineering, Government College of Engineering, Tirunelveli, India

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

Dual Threshold Voltage Design for Low Power VLSI Circuits

Dual Threshold Voltage Design for Low Power VLSI Circuits Dual Threshold Voltage Design for Low Power VLSI Circuits Sampangi Venkata Suresh M.Tech, Santhiram Engineering College, Nandyal. ABSTRACT: The high growth of the semiconductor trade over the past twenty

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No # 01 Introduction and Course Outline (Refer Slide

More information

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

ISSCC 2003 / SESSION 1 / PLENARY / 1.1 ISSCC 2003 / SESSION 1 / PLENARY / 1.1 1.1 No Exponential is Forever: But Forever Can Be Delayed! Gordon E. Moore Intel Corporation Over the last fifty years, the solid-state-circuits industry has grown

More information

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform

More information

P. Sree latha, M. Arun kumar

P. Sree latha, M. Arun kumar International Journal of Scientific & Engineering Research Volume 9, Issue 3, March-2018 1 Performance Analysis of Comparator using Different Design Techniques P. Sree latha, M. Arun kumar Abstract - As

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Implementation of High Performance Carry Save Adder Using Domino Logic

Implementation of High Performance Carry Save Adder Using Domino Logic Page 136 Implementation of High Performance Carry Save Adder Using Domino Logic T.Jayasimha 1, Daka Lakshmi 2, M.Gokula Lakshmi 3, S.Kiruthiga 4 and K.Kaviya 5 1 Assistant Professor, Department of ECE,

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review SUPRATIM SAHA Assistant Professor, Department of ECE, Subharti Institute of Technology

More information

Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper

Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper IJECT Vo l. 6, Is s u e 4, Oc t - De c 2015 ISSN : 2230-7109 (Online) ISSN : 2230-9543 (Print) Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper

More information

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101 Delay Depreciation and Power efficient Carry Look Ahead Adder using CMOS T. Archana*, K. Arunkumar, A. Hema Malini Department of Electronics and Communication Engineering, Saveetha Engineering College,

More information

High Speed & Power Efficient Inverter using 90nm MTCMOS Technique

High Speed & Power Efficient Inverter using 90nm MTCMOS Technique 21 High Speed & Power Efficient Inverter using 90nm MTCMOS Technique Buddhi Prakash Sharma 1 ME Scholar, Electronics & Communication NITTTR, Chandigarh, India Rajesh Mehra 2 Associate Professor, Electronics

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

A Review of Clock Gating Techniques in Low Power Applications

A Review of Clock Gating Techniques in Low Power Applications A Review of Clock Gating Techniques in Low Power Applications Saurabh Kshirsagar 1, Dr. M B Mali 2 P.G. Student, Department of Electronics and Telecommunication, SCOE, Pune, Maharashtra, India 1 Head of

More information

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Microelectronics and Solid State Electronics 2013, 2(2): 24-28 DOI: 10.5923/j.msse.20130202.02 Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Keerti Kumar. K

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Gaddam Sushil Raj B.Tech, Vardhaman College of Engineering. ABSTRACT: Arithmetic logic unit (ALU) is an important part of microprocessor. In

More information

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA Efficient Power Management Technique for Deep-Submicron Circuits P.Sreenivasulu 1, Ch.Aruna 2 Dr. K.Srinivasa Rao 3, Dr. A.Vinaya babu 4 1 Research Scholar, ECE Department, JNTU Kakinada, A.P, INDIA. 2

More information

Comparison of Leakage Power Reduction Techniques in 65nm Technologies

Comparison of Leakage Power Reduction Techniques in 65nm Technologies Comparison of Leakage Power Reduction Techniques in Technologies Vikas inghai aima Ayyub Paresh Rawat ABTRACT The rapid progress in semiconductor technology have led the feature sizes of transistor to

More information

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 3 (Sep. Oct. 2013), PP 32-37 e-issn: 2319 4200, p-issn No. : 2319 4197 A Novel Dual Stack Sleep Technique for Reactivation Noise suppression

More information

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus Course Content Low Power VLSI System Design Lecture 1: Introduction Prof. R. Iris Bahar E September 6, 2017 Course focus low power and thermal-aware design digital design, from devices to architecture

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch

Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch Leakage Power Reduction Through Hybrid Multi-Threshold CMOS Stack Technique In Power Gating Switch R.Divya, PG scholar, Karpagam University, Coimbatore, India. J.Muralidharan M.E., (Ph.D), Assistant Professor,

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

IMPLEMANTATION OF D FLIP FLOP BASED ON DIFFERENT XOR /XNOR GATE DESIGNS

IMPLEMANTATION OF D FLIP FLOP BASED ON DIFFERENT XOR /XNOR GATE DESIGNS IMPLEMANTATION OF D FLIP FLOP BASED ON DIFFERENT XOR /XNOR GATE DESIGNS 1 MADHUR KULSHRESTHA, 2 VIPIN KUMAR GUPTA 1 M. Tech. Scholar, Department of Electronics & Communication Engineering, Suresh Gyan

More information

Design of 32-bit ALU using Low Power Energy Efficient Full Adder Circuits

Design of 32-bit ALU using Low Power Energy Efficient Full Adder Circuits Design of 32-bit ALU using Low Power Energy Efficient Full Adder Circuits Priyadarshini.V Department of ECE Gudlavalleru Engieering College,Gudlavalleru darshiniv708@gmail.com Ramya.P Department of ECE

More information

LOW LEAKAGE CNTFET FULL ADDERS

LOW LEAKAGE CNTFET FULL ADDERS LOW LEAKAGE CNTFET FULL ADDERS Rajendra Prasad Somineni srprasad447@gmail.com Y Padma Sai S Naga Leela Abstract As the technology scales down to 32nm or below, the leakage power starts dominating the total

More information

Effect of Device Scaling for Low Power Environment. Vijay Kumar Sharma

Effect of Device Scaling for Low Power Environment. Vijay Kumar Sharma Effect of Device Scaling for Low Power Environment Vijay Kumar Sharma Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, U.P. (India) vijay.buland@gmail.com

More information

Elements of Low Power Design for Integrated Systems

Elements of Low Power Design for Integrated Systems Elements of Low Power Design for Integrated Systems Sung-Mo (Steve) Kang Univ. of California, Santa Cruz Baskin School of Engineering 1156 High Street, Santa Cruz, CA 9564 kang@soe.ucsc.edu ABSTRACT The

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

Design of an Energy Efficient, Low Power Dissipation Full Subtractor Using GDI Technique

Design of an Energy Efficient, Low Power Dissipation Full Subtractor Using GDI Technique Design of an Energy Efficient, Low Power Dissipation Full Subtractor Using GDI Technique ABSTRACT: Rammohan Kurugunta M.Tech Student, Department of ECE, Intel Engineering College, Anantapur, Andhra Pradesh,

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

Performance analysis of Modified SRAM Memory Design using leakage power reduction

Performance analysis of Modified SRAM Memory Design using leakage power reduction Performance analysis of Modified Memory Design using leakage power reduction 1 Udaya Bhaskar Pragada, 2 J.S.S. Rama Raju, 3 Mahesh Gudivaka 1 PG Student, 2 Associate Professor, 3 Assistant Professor 1

More information

Design and Implementation of Pipelined 4-Bit Binary Multiplier Using M.G.D.I. Technique

Design and Implementation of Pipelined 4-Bit Binary Multiplier Using M.G.D.I. Technique Volume 2 Issue 3 September 2014 ISSN: 2320-9984 (Online) International Journal of Modern Engineering & Management Research Website: www.ijmemr.org Design and Implementation of Pipelined 4-Bit Binary Multiplier

More information

Implementation and Performance Analysis of a Vedic Multiplier Using Tanner EDA Tool

Implementation and Performance Analysis of a Vedic Multiplier Using Tanner EDA Tool IJSRD - International Journal for Scientific Research & Development Vol. 1, Issue 5, 2013 ISSN (online): 2321-0613 Implementation and Performance Analysis of a Vedic Multiplier Using Tanner EDA Tool Dheeraj

More information

Comparative Study of Different Modes for Reducing Leakage and Dynamic Power through Layout Implementation

Comparative Study of Different Modes for Reducing Leakage and Dynamic Power through Layout Implementation International Journal of Engineering and Applied Sciences (IJEAS) ISSN: 2394-3661, Volume-2, Issue-3, March 2015 Comparative Study of Different Modes for Reducing Leakage and Dynamic Power through Layout

More information

1 Introduction COPYRIGHTED MATERIAL

1 Introduction COPYRIGHTED MATERIAL Introduction The scaling of semiconductor process technologies has been continuing for more than four decades. Advancements in process technologies are the fuel that has been moving the semiconductor industry.

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

Low Power Design in VLSI

Low Power Design in VLSI Low Power Design in VLSI Evolution in Power Dissipation: Why worry about power? Heat Dissipation source : arpa-esto microprocessor power dissipation DEC 21164 Computers Defined by Watts not MIPS: µwatt

More information

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment 1014 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 7, JULY 2005 Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment Dongwoo Lee, Student

More information

Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures

Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures Muhammad Umar Karim Khan Smart Sensor Architecture Lab, KAIST Daejeon, South Korea umar@kaist.ac.kr Chong Min Kyung Smart

More information

Leakage Diminution of Adder through Novel Ultra Power Gating Technique

Leakage Diminution of Adder through Novel Ultra Power Gating Technique Leakage Diminution of Adder through Novel Ultra Power Gating Technique Aushi Marwah; Prof. Meenakshi Mishra ShriRam College of Engineering & Management, Banmore Abstract: Technology scaling helps us to

More information

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique Mohd Shahid M.Tech Student Al-Habeeb College of Engineering and Technology. Abstract Arithmetic logic unit (ALU) is an

More information