Low-Power Design of CML Drivers for On-Chip Transmission-Lines

Size: px
Start display at page:

Download "Low-Power Design of CML Drivers for On-Chip Transmission-Lines"

Transcription

1 Low-Power Design of CML Drivers for On-Chip Transmission-Lines Akira Tsuchiya Takeshi Kuboki Hidetoshi Onodera Dept. Communications and Computer Engineering, Kyoto University Yoshida-honmachi, Sakyo-ku, Kyoto , Japan {tsuchiya, kuboki, Abstract In this paper, we discuss a design technique to reduce the power dissipation of CML buffers for on-chip transmissionlines. CML buffers can operate in higher frequency than conventional static CMOS buffers. On the other hand, the power dissipation is larger than that of the static CMOS buffers. We reduce the power dissipation by using an impedance-unmatched driver. From the pole frequency analysis, our method can decrease the tail current without degrading the throughput of the CML buffer. Experimental results show that our method can reduce the power dissipation by 25%. V out V in V DD R D M M 2 I tail R D V in2 V out2 I. Introduction According to the continuous improvement of the LSI fabrication technologies, the performance of LSIs is rapidly accelerating. One of the big challenges in high-performance LSIs is the interconnect bottleneck problem. The on-chip interconnection is becoming a limitation of the whole chip performance because the performance of on-chip wires does not improve by technology scaling. At the same time, the multi-core architecture is a trend of microprocessor design []. In such LSIs, on-chip buses have strong impact on the chip performance and on-chip interconnection is required to achieve higher bandwidth [2]. Thus on-chip high-speed communication is one of the hot topics and several methods are developed [3 7]. In high-speed communication, the primal elements of the signaling system are the driver, the receiver and the interconnect. The on-chip interconnects can transmit 0Gbps or higher bit rate [8] and the bottleneck is the driver circuit. To realize highspeed circuits, current-mode-logic (CML) is one of the solutions [9 2]. However CML buffers have more design parameters comparing static CMOS inverter [3]. CML buffers can operate in higher frequency and have tolerance to the common mode noise, but CML buffers require the static current flow and the power dissipation is larger than that of the static CMOS buffers. Thus low-power design of CML buffers is discussed [4]. This paper proposes a low-power design of CML buffers for on-chip transmission-lines. Conventionally, impedancematched driver is a common practice for transmission-line drivers [3]. The proposed method use an impedanceunmatched driver to reduce the power dissipation. Impedanceunmatched driver cause reflection of the propagating wave, however the reflected wave rapidly attenuates on on-chip transmission-lines. Therefore the impedance-mismatch is not a serious problem. We use a resistance larger than the characteristic impedance as the pull-up resistance. By using the larger resistance, we can reduce the tail current. From the pole frequency analysis, we can reduce the tail current without degrading the Fig.. CML differential buffer. bandwidth of the buffer. We experimentally verify the proposed method. The contribution of this paper is a low-power design method that does not degrade the bandwidth. Section II explains fundamentals of CML buffers for on-chip transmission-lines. In Section III, we show a relationship between the pole frequency and the bandwidth of CML buffer. Section IV describes the proposed method and Section V shows experimental results. Section VI concludes this paper. II. Fundamentals of CML Buffer In this section, fundamentals of CML buffer are explained. First, the basic operation of CML buffers is explained. Next, the design guideline of Ref. [3] is introduced. A. Basic operation of CML buffer Figure shows a basic CML buffer. The CML buffer is based on the differential architecture. The main components of the CML buffer are two pull-up resistors R D, two nmos transistors for switching and a current source I tail. NMOS transistors control the current flow of each side of the differential pair according to the differential input. CML buffers can operate in high frequency because no pmos transistor is used and the nmos transistors are always in saturation region. Figure 2 shows a transfer characteristic of the CML buffer. As the differential input (V in V in2 ) varies, each output voltage varies from (V DD R D I tail ) to the supply voltage V DD. Thus the range of the differential output voltage (V out V out2 ) is from R D I tail to R D I tail. By assigning each condition to 0 and, the CML buffer can transmit differential signal.

2 V DD V out V out2 N stages V DD - R D I tail R D I tail = V out V in - V in2 st 2 nd N th u u N- =X differential transmission-line V in, min Fig. 3. Tapered driver. Fig. 2. Transfer characteristics of CML differential buffer. B. Conventional design guideline of CML buffer The CML buffer shown in Fig. is the basic of differential amplifier [5] and a design guideline to use as a driver is already discussed [3]. From Fig., design parameters of a CML buffer are the pull-up resistance, the size of the nmos transistor and the tail current. Generally, the pull-up resistance R D is tuned to the characteristic impedance of the transmission-line to achieve impedance matching. The size of the nmos transistor is determined by the constraint of CML buffer operation. As shown in Fig. 2, a certain input voltage is needed to swing the output voltage from (V DD R D I tail ) to V DD. When all of the tail current flows through either nmos transistor, the output voltage swing reaches R D I tail. From the square law of nmos drain current, the relationship between the tail current and the minimum input voltage V in, min is expressed by I tail = 2 µc W ox L V2 in, min, () where µ is the mobility, C ox is the gate capacitance par unit area, W and L are the gate width and the gate length respectively. The mobility µ and the gate capacitance C ox are determined by the fabrication process and the gate length L is set to the minimum value in the fabrication process. Therefore the freedom in the nmos transistor is only the gate width W. To drive the next stage, the output voltage has to be larger than the minimum input voltage. V out V in, min. (2) From Eq. () and Eq. (2), The gate width W is determined by 2LI tail W. (3) µc ox Vout 2 Equation (2) is the lower limit of the output voltage. The upper limit of the output voltage depends on the threshold voltage V th. For high-speed operation, nmos transistors should operate in the saturation. From this constraint, the maximum output voltage is derived as [3] V out = R D I tail V th. (4) The last design parameter I tail is determined from the output voltage swing. The final stage of CML driver has to drive the transmission-line and the receiver. In on-chip transmission-line, loss of the transmission-line is not negligible. Therefore the lower bound of the output voltage V out is larger than the minimum input voltage of the receiver. By considering the atten- uation in the transmission-line, the output voltage V out is expressed by V out V in receiver, min, (5) exp( αl) where α and l are the attenuation constant and the length of the transmission-line respectively. According to this design guideline, the design parameters are fixed when the characteristic impedance of the interconnect and the required voltage swing is given. C. Tapered CML buffer On-chip CML driver has to drive a differential transmissionline. The characteristic impedance of on-chip differential lines is typically in the range from 50Ω to 200Ω. To drive such low impedance load, the tail current becomes large and the size of the switching transistor becomes large. Therefore tapered buffers are used and the number of stages and the taper factor are also design parameters. Figure 3 shows a tapered driver. In Fig. 3, the number of stages is N and the taper factor is u. In this discussion, the input stage of the tapered driver is written as the st stage. The last stage is the N-th stage. The transistor size and the tail current gradually scale up with the taper factor u. In opposite, the pull up resistance scales down with the taper factor u. The relationship between the k-th stage and the k + -st stage is expressed as R Dk+ = R Dk /u W k+ = uw k, (6) I tailk+ = ui tailk the subscript k + and k denote the (k + )-st stage and the k-th stage respectively. The parameter X is the ratio between the first stage and the last stage and is equal to u N. The latency of tapered CML buffer is discussed in Ref. [3] and Ref. [3] concludes that the delay of CML buffer has similarity with static CMOS buffer and the number of stages becomes optimal when the number of stages N satisfies N ln ( u N ). (7) In other word, the optimal taper factor is Napier s constant e. On the other hand, the bandwidth is also an important metric of CML driver. From the viewpoint of the bandwidth, the taper factor and the number of stages change the gain curve of the CML buffer. As the taper factor u becomes small, the pole frequency shifts toward high frequency because the load capacitance becomes small. However as the number of stages increases, the gain drops rapidly in high frequency region. An

3 20 5 stages 5 4 stages 0 V DD Voltage gain [db] 5 2 stages 0 3 stages -5-0 V out V out Frequency [GHz] V in I tail V in2 Fig. 4. Gain curve of tapered CML buffers. (X = 5) Fig. 5. Parasitic capacitances in CML buffer. example of gain curves is shown in Fig. 4. Figure 4 is the result of circuit simulation. The final stage of the buffer is designed to drive a differential transmission-line whose differential characteristic impedance is 00Ω. The ratio of the first stages and the final stage is fixed to 5 and we change the number of stages. As shown in Fig. 4, the gain of the 2-staged buffer starts to drop from the relatively low frequency. The gain curve of the 5-staged buffer remains to be flat, however, the gain drops rapidly in the higher frequency. Therefore on the bandwidth, it is not clear how many stages or taper factor maximize the bandwidth of CML buffer. III. Pole Frequency Analysis of Tapered CML Buffer In this section, we propose the pole frequency as an indicator of the bandwidth of CML buffers. As mentioned in the previous section, the design parameters R D, W and I tail are determined by the characteristic impedance of wire and the required voltage swing. Therefore in this section, the design freedoms are the taper factor u and the number of cascade stages. A. Pole frequency of CML buffers The voltage swing of CML buffers is limited because it should be smaller than the threshold voltage. Thus we can expect that we can estimate the performance of CML buffers by the small signal analysis. The pole frequency of CML buffer shown in Fig. is determined from the resistor and the capacitor connected to the drain of the switching transistor [5]. The pole frequency ω p is expressed as ω p = R D, (8) if there is no parasitics. Without considering the parasitic capacitances, the load capacitance is the sum of the drain-backgate capacitance and the gate capacitance of the next stage. Then the pole frequency of tapered CML buffers is expressed as ω p = R DN ( CDBN + uc GN ), (9) where R DN, C DBN and C GN is the pull-up resistance, the drainbackgate capacitance and the gate capacitance of the final stage of tapered buffer respectively. random NRZ st 2 nd N stages N th u u N- =X Fig. 6. Experimental circuit for eye-diagram evaluation. Eye-diagram evaluation bridge termination (00 ) In reality, there are several parasitic capacitances as shown in Fig. 5. The overlapping or adjacent wires and the polysilicon resistor are the main causes of the parasitic capacitances. Therefore we have to take these parasitic capacitances into consideration. B. Relationship between pole frequency and eye-diagram To evaluate the performance of CML buffers, we evaluate the eye-diagram by circuit simulation. The experimental circuit is shown in Fig. 6. The CML buffer is designed in accordance with the design guideline explained in Section II.. We use a 80nm CMOS process whose supply voltage is.8v. The required voltage swing is set to 0.48V in differential. The ratio between the first stage and the final stage X is fixed to 5 and the number of stages is changed. The input is a random Non-Return-to-Zero sequence. The input pulse shape of trapezoidal and the rise/fall time is one tenth of the minimum pulse width. The output of the buffer is terminated by the resistor that represents the characteristic impedance of the transmission-line. We evaluate the eye-diagram at the output of the buffer. Figure 7 shows the eye opening voltage and the input frequency. As the input frequency becomes higher, the eye opening voltage degrades. In Fig. 7, the lowest pole frequency of each configuration is also shown. We calculate the pole frequency from the pull-up resistor R D, the drain-backgate capacitance, the gate capacitance and the parasitic capacitances shown in Fig. 5. From Fig. 5, the pole frequency indicates the frequency where the eye opening voltage starts to degrade. Therefore we propose the pole frequency as an indicator of the performance of CML buffers.

4 Eye opening voltage [V] stages (pole: 4.5GHz) 5 stages (pole: 2.6GHz) 4 stages 0. (pole: 8.9GHz) 2 stages (pole: 5.6GHz) Frequency [GHz] Fig. 7. Eye opening voltage and pole frequency. (X = 5) Pole frequency [GHz] 00 0 Parasitics of Tr Parasitics of wire are dominant are dominant Pull-up resistance [Ohm] 000 Fig. 8. Pull-up resistance and the pole frequency. IV. Low-Power Design of CML Buffer This section proposes a design technique to reduce the power dissipation of CML buffers without degrading the bandwidth. A. Power reduction by impedance-unmatched driver According to Section II, the tail current is determined by the pull-up resistance and the required voltage swing. Conventionally, the pull-up resistance is tuned to achieve impedance matching because impedance-matched drivers suppress the reflection of the electromagnetic wave and improve the signal integrity. However on on-chip transmission-lines, the attenuation is significant and the reflected wave attenuates rapidly. Therefore impedance mismatch does not cause serious problem in signal transmission and we can use impedance-unmatched drivers [6]. From Eq. (4), the product of the pull-up resistance R D and the tail current I tail have to be constant value because the output voltage swing V out is a given parameter. If we can increase the pull-up resistance R D, we can decrease the tail current I tail. On the other hand, tuning the pull-up resistance does not degrade the bandwidth of the CML driver. From Eq. (8), the pole frequency is expressed as the inversion of the product of the resistance R D and the capacitance. The load capacitance is composed by the gate capacitance, drain-backgate capacitance and parasitics as shown in Fig. 5. We can classify the components of the load capacitance as below; C Tr : Parasitic capacitance of the nmos transistor. This capacitance is proportional to the transistor size. C RD : Parasitic capacitance of the pull-up resistor. This capacitance is proportional to the resistance R D. C wire : Parasitic capacitance of metal wires. This capacitance is independent to the design parameters. In this paper, we assume that the pull-up resistance is realized by polysilicon. Thus the capacitance C RD is proportional to the resistance R D. To drive on-chip transmission-lines, the output impedance of the driver has to be comparable small to the characteristic impedance and the transistor size has to be large. Therefore in the CML driver for on-chip transmission-lines, the component C Tr is dominant and the load capacitance is approximately proportional to the size of the transistor. From Eq. (3), the transistor size is proportional to the tail current. As explained in Section II, the product of R D and I tail is determined by the output voltage swing. Thus the pole frequency can be rewritten as ω p = R D R D W R D I tail = const. (0) Therefore if the load capacitance is proportional to the transistor size, the pole frequency does not change by tuning the pull-up resistance R D. As a result, the impedance-unmatched driver can reduce the tail current without degrading the bandwidth. We experimentally verify the impedance-unmatched driver in the next section. B. Relationship between the pole frequency and the pull-up resistance If the load capacitance is proportional to the gate width, we can decrease the tail current by increasing the pull-up resistance. However the load capacitance includes the parasitic capacitance of wire and the pull-up resistance. The wire parasitics is not depends on the gate width. If we realize the pull-up resistor by polysilicon, the parasitic capacitance of the resistance is proportional to the resistance value. When the gate width is large and the gate capacitance and the drain-backgate capacitance is dominant, we can reduce the tail current without degrading the bandwidth of the CML buffer. As the size of switching transistor decreases, the parasitic capacitance of wires and the resistance becomes significant. In this case, the pole frequency shifts to the lower frequency as increasing the pull-up resistance. Figure 8 shows the relationship between the pull-up resistance and the pole frequency. The parasitic capacitances are extracted from a CML buffer designed in a 90nm CMOS process. When the resistance is small, the switching transistor is large and the gate capacitance and the drain-backgate capacitance is dominant. In this region, the pole frequency is almost the constant even the pull-up resistance is changes. As the resistance becomes larger, the parasitic capacitance of wire and that of the resistance becomes dominant. Thus the pole frequency becomes lower when the pull-up resistance is large. Therefore the proposed method is limited by the parasitic capacitance of wires and that of the resistance.

5 Random input (differential) u u N-2 cascade driver X=u N- Eye-diagram evaluation terminator eye opening voltage [V] N=4 Fig. 9. Experimental circuit. 0.2 RD=50 Ohm RD=00 Ohm V. Experimental Results In this section, we show some experimental results of the impedance-unmatched driver. First, we explain the simulation setup. Next, the bandwidth and the power dissipation of impedance-unmatched drivers are evaluated by circuit simulation. A. Simulation setup The experimental circuit is shown in Fig. 9. We evaluate the bandwidth of the cascaded driver. The CML buffer is scaled by a constant taper factor u and the number of stages is N. The ratio X is the ratio between the first stage and the last stage and is expressed by X = u N. The input of the driver is a random Non- Return-to-Zero pulse sequence. To evaluate the performance of the driver, we assume that the transmission-line is lossless and the length is zero. Thus the output of the driver is directly connected to the bridge termination. A 90nm CMOS process is assumed as the fabrication process and the supply voltage is.0v. We evaluate the eye-diagram at the output of the driver. B. Comparison between impedance-matched and impedanceunmatched driver We fix the ratio X to 9 and vary the number of stages N. We assume that the differential characteristic impedance of the transmission-line is 00Ω. Therefore the resistance of the terminator is 00Ω. If we tune the driver to achieve impedance matching, the pull-up resistance of the final stage is 50Ω. We set the pull-up resistance 00Ω. Figure 0 shows the simulation result. The x-axis is the frequency of the input pulse and the y-axis is the eye-opening voltage. The number of stages is 4 and 5. The taper factor u is 2.08 and.73 respectively. From Fig. 0, the eye-opening voltage is almost the same even if the pull-up resistance R D is changed. The comparison of the total tail current is shown in Table I. From Fig. 0 and Table I, impedance-unmatched driver reduces the total tail current by 25% without degrading the bandwidth. VI. Conclusion A design technique to reduce the power dissipation of CML driver is proposed. Conventionally, impedance-matched driver is a common practice of the driver design. We use a impedanceunmatched driver whose pull-up resistance is larger than that of impedance-matched driver. By using larger pull-up resistance, we can reduce the tail current of CML buffers. From the pole eye opening voltage [V] frequency [GHz] RD=50 Ohm RD=00 Ohm N= frequency [GHz] Fig. 0. Input frequency and the eye-opening (X = 9, N = 4, 5). TABLE I Total tail current of the driver. #stages matched-driver proposed (N) (R D = 50Ω) (R D = 00Ω) ratio 4 3.9mA 0.4mA mA 2.4mA mA 4.4mA mA 8.5mA : (ratio)=(proposed)/(matched-driver) frequency analysis, our method can reduce the power dissipation without degrading the bandwidth. Experimental results show that the impedance-unmatched driver reduce the tail current by 25% and its bandwidth is almost the same as that of the conventional impedance-matched driver. References [] D. Pham, S.Asano, M. Bolliger, M. Day, H. Hofstee, C. Johns, J. Kahle, A. Kameyama, J. Keaty, Y. Masubuchi, M. Riley, D. Shippy, D. Stasiak, M.Wang, J. Warnock, S. Weitzel, D. Wendel, T. Yamazaki, and K. Yazawa, The Design and Implementation of a First-Generation CELL processor, IEEE International Solid-State Circuits Conference Digest of Technical Papers, Feb [2] Tao Lin and Lawrence T. Pileggi, Throughput-Driven IC Communication Fabric Synthesis, Proc. ICCAD, pp , 2002.

6 [3] Naresh Shanbhag, Pushing the Limits of Interconnect Performance: A Communication-Centric Approach, Tutorial of IEEE Workshop on Signal Propagation on Interconnects, May [4] Anup P. Jose, George Patounakis, and K. L. Shepard, Near-Speed-of-Light On-Chip Interconnects Using Pulsed Current-Mode Signaling, Symposium on VLSI Circuits Digest of Technical Papers, pp.08, Jun [5] Pingshan Wang, Gen Pei, and Edwin Chih-Chuan Kan, Pulsed Wave Interconnect, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.2, no.5, pp , May [4] Massimo Alioto and Gaetano Palumbo, Design Techniques for Low-Power Cascaded CML Gates, Proceedings of IEEE International Symposium on Circuits and Systems, pp , May [5] Behzad Razavi, Design of Analog CMOS Integrated Circuits, McGraw-Hill, Aug [6] Akira Tsuchiya, Masanori Hashimoto, and Hidetoshi Onodera, Driver Sizing for High-Performance Interconnects Considering Transmission-Line Effects, Proceedings of SASIMI200, pp , Oct 200. [6] Masanori Hashimoto, Akira Tsuchiya, and Hidetoshi Onodera, On-chip Global Signaling by Wave Pipelining, Proceedings of IEEE Topical Meeting on Electrical Performance of Electronic Packaging, pp.3 34, Oct [7] Muhammad Khellah, James Tschanz, Yibin Ye, Siva Narendra, and Vivek De, Static Pulsed Bus for On-Chip Interconnects, Symposium on VLSI Circuits Digest of Technical Papers, pp.78 79, [8] Akira Tsuchiya, Yuuya Gotoh, Masanori Hashimoto, and Hidetoshi Onodera, Performance Limitation of On-chip Global Interconnects for High-speed Signaling, proceedings of IEEE Custom Integrated Circuits Conference, pp , Oct [9] Masayuki Mizuno, Masakazu Yamashina, Koichiro Furuta, Hiroyuki Igura, Hitoshi Abiko, Kazuhiro Okabe, Atsuki Ono, and Hachiro Yamada, A GHz MOS Adaptive Pipeline Technique Using MOS Current-Mode Logic, IEEE Journal of Solid-State Circuits, vol.3, no.6, pp , Jun 996. [0] Hiok-Tiaq Ng and David J. Allstot, CMOS Current Steering Logic for Low-Voltage Mixed-Signal Integrated Circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.5, no.3, pp , Sept 997. [] Akira Tanabe, Masato Umetani, Ikuo Fujiwara, Takayuki Ogura, Kotaro Kataoka, Masao Okihara, Hiroshi Sakuraba, Tetsuo Endoh, and Fujio Masuoka, 0.8-µm CMOS 0- Gb/s Multiplexer/Demultiplexer ICs Using Current Mode Logic with Tolerance to Threshold Voltage Fluctuation, IEEE Journal of Solid-State Circuits, vol.36, no.6, pp , Jun 200. [2] Mohamad W. Allam and Mohamed I. Elmasry, Dynamic Current Mode Logic (DyCML): A New Low-Power High- Performance Logic Style, IEEE Journal of Solid-State Circuits, vol.36, no.3, pp , Mar 200. [3] Payam Heydari and Ravindran Mohanavelu, Design of Ultrahigh-Speed Low-Voltage CMOS CML Buffers and Latches, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.2, no.0, pp , Oct 2004.

Design and Analysis of Low-Voltage Current-Mode Logic Buffers

Design and Analysis of Low-Voltage Current-Mode Logic Buffers Design and Analysis of Low-Voltage Current-Mode Logic Buffers Payam Heydari Department of Electrical and Computer Engineering University of California, Irvine Irvine, CA 9697-65 Abstract - This paper investigates

More information

IN THE LAST decade, the increasing demand for fast computation

IN THE LAST decade, the increasing demand for fast computation 16 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 1, JANUARY 2008 Power-Aware Design of Nanometer MCML Tapered Buffers Massimo Alioto, Senior Member, IEEE, and Gaetano Palumbo,

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits Research Journal of Applied Sciences, Engineering and Technology 5(10): 2991-2996, 2013 ISSN: 2040-7459; e-issn: 2040-7467 Maxwell Scientific Organization, 2013 Submitted: September 16, 2012 Accepted:

More information

Design Issues in Low-Voltage High-Speed Current-Mode Logic Buffers

Design Issues in Low-Voltage High-Speed Current-Mode Logic Buffers Design Issues in Low-Voltage High-Speed Current-Mode Logic Buffers Payam Heydari Department of Electrical Engineering and Computer Science University of California Irvine, CA 9697-65 payam@ece.uci.edu

More information

A Study on Super Threshold FinFET Current Mode Logic Circuits

A Study on Super Threshold FinFET Current Mode Logic Circuits XUQING ZHNG et al: STUDY ON SUPER THRESHOLD FINFET CURRENT MODE LOGIC CIRCUITS Study on Super Threshold FinFET Current Mode Logic rcuits Xuqiang ZHNG, Jianping HU *, Xia ZHNG Faculty of Information Science

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

Variation-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variation

Variation-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variation 2 IEEE Conference on Microelectronic Test Structures, April 4-7, Amsterdam, The Netherlands 8.2 Variation-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variation Islam A.K.M Mahfuzul,

More information

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

Pass Transistor and CMOS Logic Configuration based De- Multiplexers Abstract: Pass Transistor and CMOS Logic Configuration based De- Multiplexers 1 K Rama Krishna, 2 Madanna, 1 PG Scholar VLSI System Design, Geethanajali College of Engineering and Technology, 2 HOD Dept

More information

DAT175: Topics in Electronic System Design

DAT175: Topics in Electronic System Design DAT175: Topics in Electronic System Design Analog Readout Circuitry for Hearing Aid in STM90nm 21 February 2010 Remzi Yagiz Mungan v1.10 1. Introduction In this project, the aim is to design an adjustable

More information

Design and Implementation of less quiescent current, less dropout LDO Regulator in 90nm Technology Madhukumar A S #1, M.

Design and Implementation of less quiescent current, less dropout LDO Regulator in 90nm Technology Madhukumar A S #1, M. Design and Implementation of less quiescent current, less dropout LDO Regulator in 90nm Technology Madhukumar A S #1, M.Nagabhushan #2 #1 M.Tech student, Dept. of ECE. M.S.R.I.T, Bangalore, INDIA #2 Asst.

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

Negative high voltage DC-DC converter using a New Cross-coupled Structure

Negative high voltage DC-DC converter using a New Cross-coupled Structure Negative high voltage DC-DC converter using a New Cross-coupled Structure Jun Zhao 1, Kyung Ki Kim 2 and Yong-Bin Kim 3 1 Marvell Technology, USA 2 Department of Electronic Engineering, Daegu University,

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

A Novel Latch design for Low Power Applications

A Novel Latch design for Low Power Applications A Novel Latch design for Low Power Applications Abhilasha Deptt. of Electronics and Communication Engg., FET-MITS Lakshmangarh, Rajasthan (India) K. G. Sharma Suresh Gyan Vihar University, Jagatpura, Jaipur,

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 10.8 10Gb/s Limiting Amplifier and Laser/Modulator Driver in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi Electrical Engineering

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS Neeta Pandey 1, Kirti Gupta 2, Stuti Gupta 1, Suman Kumari 1 1 Dept. of Electronics and Communication, Delhi Technological University, New Delhi (India) 2

More information

Tuesday, March 22nd, 9:15 11:00

Tuesday, March 22nd, 9:15 11:00 Nonlinearity it and mismatch Tuesday, March 22nd, 9:15 11:00 Snorre Aunet (sa@ifi.uio.no) Nanoelectronics group Department of Informatics University of Oslo Last time and today, Tuesday 22nd of March:

More information

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT PRADEEP G CHAGASHETTI Mr. H.V. RAVISH ARADHYA Department of E&C Department of E&C R.V.COLLEGE of ENGINEERING R.V.COLLEGE of ENGINEERING Bangalore

More information

A Simple Static Noise Margin Model of MOS CML Gate in CMOS Processes

A Simple Static Noise Margin Model of MOS CML Gate in CMOS Processes JOURNL OF SEMCONDUCTOR TECHNOLOGY ND SCENCE, OL.17, NO.3, JUNE, 017 SSN(Print) 1598-1657 https://doi.org/10.5573/jsts.017.17.3.370 SSN(Online) 33-4866 Simple Static Noise Margin Model of MOS CML Gate in

More information

Class-AB Low-Voltage CMOS Unity-Gain Buffers

Class-AB Low-Voltage CMOS Unity-Gain Buffers Class-AB Low-Voltage CMOS Unity-Gain Buffers Mariano Jimenez, Antonio Torralba, Ramón G. Carvajal and J. Ramírez-Angulo Abstract Class-AB circuits, which are able to deal with currents several orders of

More information

An Improved Recycling Folded Cascode OTA with positive feedback

An Improved Recycling Folded Cascode OTA with positive feedback An Improved Recycling Folded Cascode OTA with positive feedback S.KUMARAVEL, B.VENKATARAMANI Department of Electronics and Communication Engineering National Institute of Technology Trichy Tiruchirappalli

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates Anil Kumar 1 Kuldeep Singh 2 Student Assistant Professor Department of Electronics and Communication Engineering Guru Jambheshwar

More information

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors Design for MOSIS Educational Program (Research) Transmission-Line-Based, Shared-Media On-Chip Interconnects for Multi-Core Processors Prepared by: Professor Hui Wu, Jianyun Hu, Berkehan Ciftcioglu, Jie

More information

A 56Gb/s PAM-4 VCSEL driver circuit

A 56Gb/s PAM-4 VCSEL driver circuit ISSC 2012, NUI Maynooth, June 28-29 56Gb/s PM-4 VCSEL driver circuit N. Quadir*, P. Ossieur* and P. D. Townsend* *Photonic Systems Group, Tyndall National Institute, University College Cork, Ireland email:nasir.quadir@tyndall.ie

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage

Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage Sadeque Reza Khan Department of Electronic and Communication Engineering, National

More information

4-Bit Ka Band SiGe BiCMOS Digital Step Attenuator

4-Bit Ka Band SiGe BiCMOS Digital Step Attenuator Progress In Electromagnetics Research C, Vol. 74, 31 40, 2017 4-Bit Ka Band SiGe BiCMOS Digital Step Attenuator Muhammad Masood Sarfraz 1, 2, Yu Liu 1, 2, *, Farman Ullah 1, 2, Minghua Wang 1, 2, Zhiqiang

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1 IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 01, 2014 ISSN (online): 2321-0613 A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power

More information

Low Power High Speed Differential Current Comparator

Low Power High Speed Differential Current Comparator Low Power High Speed Differential Current Comparator Indrani Roy, Suman Biswas, B. S. Patro 2 M.Tech (VLSI & ES) Student, School of Electronics, KIIT University, Bhubaneswar, India Ph.D Scholar, School

More information

Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis

Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis Masanori Hashimoto Dept. Communications & Computer Engineering Kyoto University hasimoto@i.kyoto-u.ac.jp Yuji Yamada Dept. Communications

More information

A Comparative review and analysis of different phase frequency detectors for Phase Locked Loops

A Comparative review and analysis of different phase frequency detectors for Phase Locked Loops A Comparative review and analysis of different phase frequency detectors for Phase Locked Loops Anu Tonk Department of Electronics & Communication Engineering, F/o Engineering and Technology, Jamia Millia

More information

A new class AB folded-cascode operational amplifier

A new class AB folded-cascode operational amplifier A new class AB folded-cascode operational amplifier Mohammad Yavari a) Integrated Circuits Design Laboratory, Department of Electrical Engineering, Amirkabir University of Technology, Tehran, Iran a) myavari@aut.ac.ir

More information

POWER AND SIGNAL INTEGRITY IMPROVEMENT IN ULTRA HIGH-SPEED CURRENT MODE LOGIC

POWER AND SIGNAL INTEGRITY IMPROVEMENT IN ULTRA HIGH-SPEED CURRENT MODE LOGIC POWER AND SIGNAL INTEGRITY IMPROVEMENT IN ULTRA HIGH-SPEED CURRENT MODE LOGIC Hien Ha and Forrest Brewer University of California Santa Barbara hienha@aurora.ece.ucsb.edu forrest@engineering.ucsb.edu ABSTRACT

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

Analysis and Design of High Speed Low Power Comparator in ADC

Analysis and Design of High Speed Low Power Comparator in ADC Analysis and Design of High Speed Low Power Comparator in ADC 1 Abhishek Rai, 2 B Ananda Venkatesan 1 M.Tech Scholar, 2 Assistant professor Dept. of ECE, SRM University, Chennai 1 Abhishekfan1791@gmail.com,

More information

Gechstudentszone.wordpress.com

Gechstudentszone.wordpress.com UNIT 4: Small Signal Analysis of Amplifiers 4.1 Basic FET Amplifiers In the last chapter, we described the operation of the FET, in particular the MOSFET, and analyzed and designed the dc response of circuits

More information

Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability

Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability Islam A.K.M Mahfuzul Department of Communications and Computer Engineering Kyoto University mahfuz@vlsi.kuee.kyotou.ac.jp

More information

A Generic Standard Cell Design Methodology for Differential Circuit Styles

A Generic Standard Cell Design Methodology for Differential Circuit Styles A Generic Standard Cell Design Methodology for Differential Circuit Styles Stéphane Badel, Erdem Güleyüpoğlu, Özgür İnaç, Anna Peña Martinez, Paolo Vietti, Frank K. Gürkaynak and Yusuf Leblebici Microelectronic

More information

Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing

Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing Nestoras Tzartzanis and Bill Athas nestoras@isiedu, athas@isiedu http://wwwisiedu/acmos Information Sciences Institute

More information

Advanced Operational Amplifiers

Advanced Operational Amplifiers IsLab Analog Integrated Circuit Design OPA2-47 Advanced Operational Amplifiers כ Kyungpook National University IsLab Analog Integrated Circuit Design OPA2-1 Advanced Current Mirrors and Opamps Two-stage

More information

6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers

6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers 6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers Massachusetts Institute of Technology February 17, 2005 Copyright 2005

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE Journal of Engineering Science and Technology Vol. 12, No. 12 (2017) 3344-3357 School of Engineering, Taylor s University DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE

More information

DESIGN OF A FULLY DIFFERENTIAL HIGH-SPEED HIGH-PRECISION AMPLIFIER

DESIGN OF A FULLY DIFFERENTIAL HIGH-SPEED HIGH-PRECISION AMPLIFIER DESIGN OF A FULLY DIFFERENTIAL HIGH-SPEED HIGH-PRECISION AMPLIFIER Mayank Gupta mayank@ee.ucla.edu N. V. Girish envy@ee.ucla.edu Design I. Design II. University of California, Los Angeles EE215A Term Project

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

A Low Power Small Area Multi-bit Quantizer with A Capacitor String in Sigma-Delta Modulator

A Low Power Small Area Multi-bit Quantizer with A Capacitor String in Sigma-Delta Modulator A Low Power Small Area Multi-bit uantizer with A Capacitor String in Sigma-Delta Modulator Xuia Wang, Jian Xu, and Xiaobo Wu Abstract An ultra-low power area-efficient fully differential multi-bit quantizer

More information

Design of a Capacitor-less Low Dropout Voltage Regulator

Design of a Capacitor-less Low Dropout Voltage Regulator Design of a Capacitor-less Low Dropout Voltage Regulator Sheenam Ahmed 1, Isha Baokar 2, R Sakthivel 3 1 Student, M.Tech VLSI, School of Electronics Engineering, VIT University, Vellore, Tamil Nadu, India

More information

Comparative Analysis of Compensation Techniques for improving PSRR of an OPAMP

Comparative Analysis of Compensation Techniques for improving PSRR of an OPAMP Comparative Analysis of Compensation Techniques for improving PSRR of an OPAMP 1 Pathak Jay, 2 Sanjay Kumar M.Tech VLSI and Embedded System Design, Department of School of Electronics, KIIT University,

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 03, 2015 ISSN (online): 2321-0613 Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni

More information

Sub-threshold Design using SCL for Low Power Applications

Sub-threshold Design using SCL for Low Power Applications Sub-threshold Design using SCL for Low Power Applications 1 Rajiv Gopal, 2 M Murali Krishna Student, Department of ECE, Asst. Professor, Department of ECE, GITAM Institute of Technology, GITAM University,

More information

WITH the growth of data communication in internet, high

WITH the growth of data communication in internet, high 136 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 2, FEBRUARY 2008 A 0.18-m CMOS 1.25-Gbps Automatic-Gain-Control Amplifier I.-Hsin Wang, Student Member, IEEE, and Shen-Iuan

More information

A Low Power Gain Boosted Fully Differential OTA for a 10bit pipelined ADC

A Low Power Gain Boosted Fully Differential OTA for a 10bit pipelined ADC IOSR Journal of Engineering e-issn: 2250-3021, p-issn: 2278-8719, Vol. 2, Issue 12 (Dec. 2012) V2 PP 22-27 A Low Power Gain Boosted Fully Differential OTA for a 10bit pipelined ADC A J Sowjanya.K 1, D.S.Shylu

More information

Design of Pipeline Analog to Digital Converter

Design of Pipeline Analog to Digital Converter Design of Pipeline Analog to Digital Converter Vivek Tripathi, Chandrajit Debnath, Rakesh Malik STMicroelectronics The pipeline analog-to-digital converter (ADC) architecture is the most popular topology

More information

(2) v max = (3) III. SCENARIOS OF PROCESS ADVANCE AND SIMULATION SETUP

(2) v max = (3) III. SCENARIOS OF PROCESS ADVANCE AND SIMULATION SETUP Quantitative Prediction of On-chip Capacitive and Inductive Crosstalk Noise and Discussion on Wire Cross-Sectional Area Toward Inductive Crosstalk Free Interconnects Yasuhiro Ogasahara, Masanori Hashimoto,

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

IEICE TRANS. FUNDAMENTALS, VOL.E86 A, NO.12 DECEMBER

IEICE TRANS. FUNDAMENTALS, VOL.E86 A, NO.12 DECEMBER IEICE TRANS. FUNDAMENTALS, VOL.E86 A, NO.12 DECEMBER 2003 2965 PAPER Special Section on VLSI Design and CAD Algorithms Crosstalk Noise Estimation for Generic RC Trees Masanori HASHIMOTO a), Regular Member,

More information

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique James Lin, Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Laḃ

More information

[Kumar, 2(9): September, 2013] ISSN: Impact Factor: 1.852

[Kumar, 2(9): September, 2013] ISSN: Impact Factor: 1.852 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Design and Performance analysis of Low power CMOS Op-Amp Anand Kumar Singh *1, Anuradha 2, Dr. Vijay Nath 3 *1,2 Department of

More information

CML Current mode full adders for 2.5-V power supply

CML Current mode full adders for 2.5-V power supply CML Current full adders for 2.5-V power supply. Kazeminejad, K. Navi and D. Etiemble. LI - U 410 CNS at 490, Université Paris Sud 91405 Orsay Cedex, France bstract We present the basic structure and performance

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

Chapter 13: Introduction to Switched- Capacitor Circuits

Chapter 13: Introduction to Switched- Capacitor Circuits Chapter 13: Introduction to Switched- Capacitor Circuits 13.1 General Considerations 13.2 Sampling Switches 13.3 Switched-Capacitor Amplifiers 13.4 Switched-Capacitor Integrator 13.5 Switched-Capacitor

More information

1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications

1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications 1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications Ashish Raman and R. K. Sarin Abstract The monograph analysis a low power voltage controlled ring oscillator, implement using

More information

Open Access A Power-Gating Scheme for MCML Circuits with Separable-Sizing Sleep Transistors

Open Access A Power-Gating Scheme for MCML Circuits with Separable-Sizing Sleep Transistors Send Orders for Reprints to reprints@benthamscience.ae 306 The Open Electrical & Electronic Engineering Journal, 2014, 8, 306-315 Open Access A Power-Gating Scheme for MCML Circuits with Separable-Sizing

More information

Rail-To-Rail Output Op-Amp Design with Negative Miller Capacitance Compensation

Rail-To-Rail Output Op-Amp Design with Negative Miller Capacitance Compensation Rail-To-Rail Op-Amp Design with Negative Miller Capacitance Compensation Muhaned Zaidi, Ian Grout, Abu Khari bin A ain Abstract In this paper, a two-stage op-amp design is considered using both Miller

More information

Study of High Speed Buffer Amplifier using Microwind

Study of High Speed Buffer Amplifier using Microwind Study of High Speed Buffer Amplifier using Microwind Amrita Shukla M Tech Scholar NIIST Bhopal, India Puran Gaur HOD, NIIST Bhopal India Braj Bihari Soni Asst. Prof. NIIST Bhopal India ABSTRACT This paper

More information

Optimization of Digitally Controlled Oscillator with Low Power

Optimization of Digitally Controlled Oscillator with Low Power IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. I (Nov -Dec. 2015), PP 52-57 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Optimization of Digitally Controlled

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

Design and Analysis of High Gain Differential Amplifier Using Various Topologies

Design and Analysis of High Gain Differential Amplifier Using Various Topologies Design and Analysis of High Gain Amplifier Using Various Topologies SAMARLA.SHILPA 1, J SRILATHA 2 1Assistant Professor, Dept of Electronics and Communication Engineering, NNRG, Ghatkesar, Hyderabad, India.

More information

A 12-bit Hybrid DAC with Swing Reduced Driver

A 12-bit Hybrid DAC with Swing Reduced Driver IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 2 (Sep. Oct. 2013), PP 35-39 e-issn: 2319 4200, p-issn No. : 2319 4197 A 12-bit Hybrid DAC with Swing Reduced Driver Muneswaran Suthaskumar

More information

problem grade total

problem grade total Fall 2005 6.012 Microelectronic Devices and Circuits Prof. J. A. del Alamo Name: Recitation: November 16, 2005 Quiz #2 problem grade 1 2 3 4 total General guidelines (please read carefully before starting):

More information

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 77-81 International Research Publication House http://www.irphouse.com Noise Tolerance Dynamic CMOS Logic

More information

Low Power Phase Locked Loop Design with Minimum Jitter

Low Power Phase Locked Loop Design with Minimum Jitter Low Power Phase Locked Loop Design with Minimum Jitter Krishna B. Makwana, Prof. Naresh Patel PG Student (VLSI Technology), Dept. of ECE, Vishwakarma Engineering College, Chandkheda, Gujarat, India Assistant

More information

Active Decap Design Considerations for Optimal Supply Noise Reduction

Active Decap Design Considerations for Optimal Supply Noise Reduction Active Decap Design Considerations for Optimal Supply Noise Reduction Xiongfei Meng and Resve Saleh Dept. of ECE, University of British Columbia, 356 Main Mall, Vancouver, BC, V6T Z4, Canada E-mail: {xmeng,

More information

Chapter 4. Problems. 1 Chapter 4 Problem Set

Chapter 4. Problems. 1 Chapter 4 Problem Set 1 Chapter 4 Problem Set Chapter 4 Problems 1. [M, None, 4.x] Figure 0.1 shows a clock-distribution network. Each segment of the clock network (between the nodes) is 5 mm long, 3 µm wide, and is implemented

More information

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier Chapter 5 Operational Amplifiers and Source Followers 5.1 Operational Amplifier In single ended operation the output is measured with respect to a fixed potential, usually ground, whereas in double-ended

More information

ECEN 474/704 Lab 6: Differential Pairs

ECEN 474/704 Lab 6: Differential Pairs ECEN 474/704 Lab 6: Differential Pairs Objective Design, simulate and layout various differential pairs used in different types of differential amplifiers such as operational transconductance amplifiers

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

Gate Delay Estimation in STA under Dynamic Power Supply Noise

Gate Delay Estimation in STA under Dynamic Power Supply Noise Gate Delay Estimation in STA under Dynamic Power Supply Noise Takaaki Okumura *, Fumihiro Minami *, Kenji Shimazaki *, Kimihiko Kuwada *, Masanori Hashimoto ** * Development Depatment-, Semiconductor Technology

More information

BICMOS Technology and Fabrication

BICMOS Technology and Fabrication 12-1 BICMOS Technology and Fabrication 12-2 Combines Bipolar and CMOS transistors in a single integrated circuit By retaining benefits of bipolar and CMOS, BiCMOS is able to achieve VLSI circuits with

More information

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 10 April 2016 ISSN (online): 2349-784X An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band

More information

THE power/ground line noise due to the parasitic inductance

THE power/ground line noise due to the parasitic inductance 260 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 2, FEBRUARY 1998 Noise Suppression Scheme for Gigabit-Scale and Gigabyte/s Data-Rate LSI s Daisaburo Takashima, Yukihito Oowaki, Shigeyoshi Watanabe,

More information

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 5: Basic CMOS Inverter Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

A Novel Low Power Optimization for On-Chip Interconnection

A Novel Low Power Optimization for On-Chip Interconnection International Journal of Scientific and Research Publications, Volume 3, Issue 3, March 2013 1 A Novel Low Power Optimization for On-Chip Interconnection B.Ganga Devi*, S.Jayasudha** Department of Electronics

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

A 7 bit 3.52 GHz Current Steering DAC for WiGig Applications

A 7 bit 3.52 GHz Current Steering DAC for WiGig Applications A 7 bit 3.52 GHz Current Steering DAC for WiGig Applications Trindade, M. Helena Abstract This paper presents a Digital to Analog Converter (DAC) with 7 bit resolution and a sampling rate of 3.52 GHz to

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information