Development and Evaluation of Advanced Electronic Components and Technologies

Size: px
Start display at page:

Download "Development and Evaluation of Advanced Electronic Components and Technologies"

Transcription

1 25th Microelectronics Workshop Development and Evaluation of Advanced Electronic Components and Technologies Florence MALOU with the participation of David DANGLA CNES, France 2nd November 2012

2 COMPONENTS DEVELOPMENT PROGRAM AT CNES OUTLINE DEVELOPMENT AND SPACE EVALUATION FLOW VLSI & ASIC TECHNOLOGIES DEVELOPMENT AND EVALUATION PROGRESS REPORT DSM TECHNOLOGIES RELIABILITY AND RADIATION TESTS RESULTS FUTURE CHALLENGES CONCLUSION 2

3 COMPONENTS DEVELOPMENT PROGRAM AT CNES OUTLINE DEVELOPMENT AND SPACE EVALUATION FLOW VLSI & ASIC TECHNOLOGIES DEVELOPMENT AND EVALUATION PROGRESS REPORT DSM TECHNOLOGIES RELIABILITY AND RADIATION TESTS RESULTS FUTURE CHALLENGES CONCLUSION 3

4 OBJECTIVES OF THE COMPONENTS DEVELOPMENT PROGRAM To contribute to the European non dependence Avoid possible embargo (ITAR restriction, ), Contribute to the exploitation of the European capabilities in terms of space components Propose, in time, state of the art technologies and components with a good readiness level and at a reasonable cost To Support the competitiveness of European Space industry Equipment manufacturers» Allow the space industry to have access to state of the arts components» Increase systems and equipment performances» Be able to propose new applications (New Generation telecom payloads, ) Component manufacturers» Develop a production capacity of HiRel and radiation hardened components to a reduced number of component manufacturers» Develop as much as possible their products portfolio in order for them to be attractive and get back a significant revenue Program harmonized through the ESCC/CTB and coordinated with ESA Collaboration with JAXA Budgets : Approx. 2M per year - CNES funding participation target : 50 % 4

5 COMPONENTS DEVELOPMENT PROGRAM AT CNES OUTLINE DEVELOPMENT AND SPACE EVALUATION FLOW VLSI & ASIC TECHNOLOGIES DEVELOPMENT AND EVALUATION PROGRESS REPORT DSM TECHNOLOGIES RELIABILITY AND RADIATION TESTS RESULTS FUTURE CHALLENGES CONCLUSION 5

6 DEVELOPMENT PHASE Define the target specification reflecting Space industry needs Design the product on the selected process : Architecture study Mitigation techniques Modelling Simulations Design reviews to authorize or not to go-on manufacturing Manufacture the prototypes Perform electrical & radiation characterization : If the results are not in line with the target spec Design re-spin up to reach the performances If the design is OK Go to Evaluation stage 6

7 EVALUATION PHASE What is the purpose of an Evaluation phase? During this phase, components and technologies are extensively characterized and tested ( to destruction wherever possible) Tests are designed to : Gauge reliability and lifetime Provide stresses that» Simulate thermal, mechanical, electrical, vacuum and radiation environments» Address intrinsic and extrinsic failure modes» Allow to determine the margins for these failure mechanisms Bathcurve The idea is to learn about the components, not just to verify that they can survive a pre-defined stress level or a suite of tests 7

8 EVALUATION FLOW Evaluation phase consists of : Preparation of an ETP (Evaluation Test Plan) Manufacturer Evaluation ( incl. subs if considered necessary) Component Evaluation Testing by the Manufacturer and monitoring by the Tech. Officer Evaluation phase Outputs : Evaluation report Applicable Detail Specification(s) Final PID(Process Identification Document) A Generic Specification if not existing Introduction in EPPL (European Preferred Parts List) 8

9 EVALUATION FLOW 9

10 COMPONENTS DEVELOPMENT PROGRAM AT CNES OUTLINE DEVELOPMENT AND SPACE EVALUATION FLOW VLSI & ASIC TECHNOLOGIES DEVELOPMENT AND EVALUATION PROGRESS REPORT DSM TECHNOLOGIES RELIABILITY AND RADIATION TESTS RESULTS FUTURE CHALLENGES CONCLUSION 10

11 Objectives : ASIC from ATMEL / STMicroelectonics CMOS065 LP DSM technology is required for next generation flexible Telecom payloads : Higher ASIC complexity : 20 to 30 Millions gates, Clock data path ~ 400 MHz, Power dissipation per ASIC 15 Watts, Multiple HSSL links at 6.25 Gbps Process : ST 65nm LP CMOS (F) Agreement between ATMEL and ST: ST will be technology provider and ATMEL will be the ASIC vendor 65nm Space platform specification : 1st ASIC platform : Rad-Hard Dedicated Libraries for Space IO Libraries : I2C, CMOS IO and LVDS with cold spare feature 1.2GHz PLL New Memories and associated BIST/ECC for SPACE Extension of library parameters to simulate 20ys aging 100 krads No SEL at 70MeV/mg.cm², SEU hardened DFF s + 2 nd ASIC platform : HSSL IP PLL for Delay compensation Flip-Chip package Status : 1st ASIC offer design completed with integrated ST Design in Reliability (DiR) methodology ESCC evaluation in progress by ST end Q2/13 (See preliminary results in next section ) ATMEL is initiating the 65nm ASIC deployment and design support to 1st Telecom ASIC CAD Flow, HSSL IP hardening, Flip Chip package dvlpment, under ESA contracts, KO in Q4/12. 11

12 ASIC from ATMEL 0.15µm SOI Objectives: Manage near obsolescence of digital.35µ and.18µ digital technologies for small (500Kg- 1Mg) and medium (5Mg) ASIC s needs Process : LFoundry 0.15µm SOI (F) 0.15µm SOI offer specification : Digital radhard library 5V IO compatibility 1.8V Low voltage - Processes with 3.3V I/Os logic devices PLL EEPROM blocks Analog devices No SEL at 80 MeV/mg/cm² at ambient & high temperature SEU hardened DFF s Tested up to 300 krads. Radiation Level is 100 krads. Status : Design completed Digital & Analog Test Vehicles available Electrical characterization, Radiation and reliability tests in progress Alpha tests by TAS: circuit with analog blocks under design 12

13 FPGA from ATMEL ATF280F Main features : SRAM-based FPGA 280K equivalent ASIC gates 14,400 cells ( two 3-input LUT or one 4-input LUT, one DFF) Unlimited reprogrammability No SEL at a LET of 80 MeV/mg/cm2 SEE-hardened (Configuration RAM, FreeRAM, DFF, JTAG, I/O buffers) RHBD no need for mitigation techniques during design 300 krads MQFP-256/352, MCGA-472, LGA µm CMOS techno (F) Status: Design completed IDS Tools Release 9.1.2a available ESCC evaluation tests completed under ESA contract. SMD number : ATFS450E Joint ATMEL and HIREC development with CNES and JAXA respective support Based on the ATMEL AT40K FPGA architecture and HIREC radiation hardening by design techniques Target specification: SEU/SET hardened SRAM based reprogrammable FPGA 450K equivalent ASIC gates organized in an array of 152x152 core cells SEE-hardened (Configuration RAM, FreeRAM, DFF, JTAG, I/O buffers) RHBD no need for mitigation techniques during design 100 krads Lapis 0.15μm SOI (J) Status: Design completed 3rd silicon prototypes available Electrical characterization in progress : some bugs have been discovered on configuration memory readback. Feasibility of a silicon fix on-going Reliability tests for Electro Migration and Stress Migration on going on Lapis 0.15µm SOI TC 13

14 VLSI from ATMEL LEON2 AT697F µp Dvlpt Supported by ESA and ESCC eval supported by CNES Main features : 32-BIT SPARC PROCESSOR 1 W at 100 MHz Fault Tolerance by Design 86 MIPS (Dhrystone 2.1) 23 MFLOPS (Whetstone) 300 krads SEU error rate better than 1 E-5 error/device/da No SEL below a LETth of 70 MeV.cm2/mg MQFP256 and LGA349 packages 0.18µm CMOS techno (F) Status : ESCC evaluation completed in November 2011 : All results are satisfactory ESCC Detail Specification No. 9512/004 approved Products listed in EPPL 40Mb Asynchronous SRAM Target specification : 2 config : 4Mbx10b or 1Mbx40b with no embedded EDAC 2 options core: 1.2V std speed and 1.4V high speed. 3.3V IOs Packages: x10bit in FP42 (tbc), x40bit in CQFP132 UMC 90nm Low Leakage CMOS technology (TW) Status : 1st silicon available (x40bit 1.2V version) Electrical characterization have shown some bugs at IOs level Design fix under investigation 2nd Si expected in Q2/13 14

15 VLSI MODULES from ATMEL Reprogrammable FPGA module 2 FPGA ATF280F + 2 EEPROM AT69170E in one package Reprogrammable Computer : 1 FPGA ATF280F + 1 LEON2 AT697F in one package Atmel Reprogrammable FPGA module : open package Main features: 2x ATF280F FPGA + 2x AT69170E MQFP352 package 0.18µm CMOS techno (F) Status: Design completed Electrical Characterization Completed targeted spec reached Prototypes, Starter Kit, User guide and Application Note are available Atmel Reprogrammable computer : open package Main features: 32-bit SPARC V8 with Embedded FPGA MQFP352 package 0.18µm CMOS techno (F) Status: Design completed Electrical Characterization Completed targeted spec reached Prototypes, Starter Kit, User guide and Application Note are available ESCC evaluation in progress end Q3/13 15

16 HIGH SPEED CONVERTERS from E2V EV10AS180 ADC Dvlpt. in the frame of ESA program and ESCC eval in the frame of European Community's (CNES within FP7 consortium) ADC Main Features : 10-bit resolution 1.5 Gsps Conversion Rate LBand Selectable 1:1/2/4 DEMUX 1.7 W Power Dissipation 100 krads CI-CGA255 Package B7HF200 SiGeC techno. from Infineon (G) Status : Design Completed Reach target spec. ESCC evaluation in progress end Q4/12 EV12DS130 MUX-DAC Dvpt. and ESCC eval. in the frame of CNES program DAC Main Features : 12-bit resolution 3 Gsps Conversion rate 6 GHz analog output bandwidth 4:1 or 2:1 built in MUX (selectable) 1.3 W Power Dissipation NRZ, Narrow RTZ, 50% RTZ, RF modes 100 krads Ci-CGA255 Package B7HF200 SiGeC techno. from Infineon (G) Status : Design completed Very good performances ESCC evaluation completed in Sept.12 : very good reliability and radiation results, see next slides EPPL submission in Q4/12 16

17 STANDARD INTEGRATED CIRCUITS from STMicroelectronics / Completed activities ADC RHF1201 RHF1401 VCHX # bit Fs V CC Msps 2.5V Msps 2.5V fonction TID 16-bit Bus Buffer 16-bit bus transceiver 300 krads 16-bit D- type Latch 16-bit D- type Flip- Flop Power 100mW at 50Msps 85 mw at 20Msps SEL Immune up to 110 MeV-cm2/mg at 125 C TID SEL & SEFI SEU / SET Package Immune up to 120MeV-cm2/mg at 2.7V and 125 C SET immune for a LET 20MeV.cm²/mg SEU saturated cross-section = 3x10-4 cm²@ LET =60MeV.cm²/mg 300 krads KSO48 SET immune for a LET 116MeV.cm²/mg SEU saturated cross-section = 4x10-4cm²@ LET =116MeV.cm²/mg SEU/SET Package Techno SET immune up to a LET of 110 MeV.cm²/ mg FP µm CMOS SEU saturated crosssection = 1.2x10-5cm²@ LET =110MeV.cm²/mg Techno 0.25µm CMOS Products listed in EPPL 17

18 STANDARD INTEGRATED CIRCUITS from STMicroelectronics / Completed activities Opamps V CC I CC -3dB Bandwidth Slew Rate TID SEL SET RHF43B Precision 4 to 14V 2.3mA 2MHz, A V = V/µs SET saturated cross-section ~ 2,5x10-3cm², LETth < 3.3 MeV/mg/cm² RHF310 High-Speed 4.5 to 5.5V 400µA 120MHz, A V =+2 115V/µs 300 krads ELDRS free - Immune in Inverting config. -Very low sensitivity in Non- Inverting config.(σsat ~ 1E-6cm²). -Low sensitivity in Subtracting config.(σsat ~ 1E-5cm²). RHF330 High-Speed 4.5 to 5.5V 16.6mA 1 GHz, A V = V/μs Immune at 125 C, LET up to 110MeV.cm2/mg Low sensitivity in the three config. PWM Duty cycle V CC I CC TID SEL SET Package Techno ST % 50 krads 17 ma max Immune up to 120 MeV-cm²/mg at 30V, at 125 C SET saturated crosssection = 1x10-2cm², LETth = 1.5 MeV/mg/cm² Voltage regulator Output currents Output voltages TID SEL SET 15V FP8 Bipolar ST % 100 krads SET saturated crosssection = 9x10-3cm², LETth = 1.5 MeV/mg/cm² RHFL and 3 A 2.5 V, 3.3 V, 5.0 V 300 krads ELDRS free Immune LET up to 110MeV.cm²/mg SET sensitive Package FP8 Package FP16, SMD.5, TO-257 Techno Bipolar 0.25µm BiCMOS Techno Bipolar 18 Products listed in EPPL

19 STANDARD INTEGRATED CIRCUITS from STMicroelectronics / Dvpt & ESCC Evaluation in progress Fast Comparator Main features : Propagation time of 5 ns Rise/fall time: 1.4 ns on 10 pf Low consumption: 1.4 ma Single supply: 3 V to 5.5 V FP8 package ST 0.25µm BiCMOS techno (F) Status : Design completed Electrical Characterization Completed Very good Electrical Results Radiation Test in progress ESCC evaluation in progress end Q2/13. Voltage reference Main features : Reference voltage = 1.2V High Precision: ± 25 C Low Tempco:< 30ppm/ C FP10 package ST 0.25µm BiCMOS techno (F) Status : Design completed Electrical Characterization Completed Very good Electrical Results Radiation Test in progress ESCC evaluation in progress end Q2/13. Differential amplifier Target specification : Slew rate: 780 V/μs min. Input voltage noise: 2.8 nv/ Hz High input impedance 4.5V to 5.5V power supply range Rad-hard Status : Design in progress on 0.25µm BiCMOS techno (F) Si expected in Q2/13 16 bit DAC Target specification : 16-bit resolution at 5kHz bandwidth 20-bit resolution at 250Hz bandwidth 3.3 V analog supply Rad-hard Status : Design in progress on 0.13µm CMOS techno (F) Si expected in Q1/13 19

20 COMPONENTS DEVELOPMENT PROGRAM AT CNES OUTLINE DEVELOPMENT AND SPACE EVALUATION FLOW VLSI & ASIC TECHNOLOGIES DEVELOPMENT AND EVALUATION PROGRESS REPORT DSM TECHNOLOGIES RELIABILITY AND RADIATION TESTS RESULTS FUTURE CHALLENGES CONCLUSION 20

21 65NM EVALUATION TEST PROGRAM Evaluation Test Plan : Representative Test chips manufacturing of ST CMOS065LP Space platform Test chips Electrical characterization in -55 C/+125 C temperature range Construction analysis on TC1 Reliability tests to confirm life time of 20 Tj=110 C with temperature & voltage accelerations on TC1, TC2 & TC4 Radiation tests : TID, SEE under heavy ions and protons on TC1 and TC2 TC1 (Rad hard digital libraries): TC2 (Rad-hard PLL + cold spare IOs) TC4 (commercial library subset): 21

22 65NM RELIABILITY TESTS RESULTS First reliability results on TC4 test vehicle HTOL in progress on RH TC1 & TC2 test chips Several tests were performed on TC4 Standard Foundry qualification FIT calculations Vddnom, 125 C and 3x77 parts for criteria. 65nm TC HTOL trials results : Acceleration factors were confirmed For SRAM arrays, it is shown that all compilers remain stable with margins with respect to the specifications even after 20yrs/110 C. EOL Vddmin values are showing 200mV margins with respect to compiler spec. ST CMOS065LP CORE items are all passing SPACE mission profile Experimental SRAM Vddmin drifts and absolute Vddmin value at End-Of-Life 20yrs. 22

23 65NM RADIATION TESTS RESULTS Assessment phase : Heavy ions tests performed on a test vehicle with many mitigation schemes : 2 FFs architectures retained ECC confirmed robust and needed RAD tolerant Clock Trees SEU rate improvement factor with SKYROB ranging from 80 to 500 ST Clock Trees mitigation techniques in FF shifters ESCC evaluation phase : Heavy ions and Protons tests on TC1 test chip with rad-hard library to confirm previous data Test campaign in Q3/12 Test results under analysis 23

24 EV12DS130A DAC RELIABILITY TESTS RESULTS HTOL 3000Hrs on 15 devices at Tj 156 C Test results :» No parametric drift at Ambient, Low and High temperatures» EV12DS130AMGS9NB1 product has passed with success 3000Hrs life test (Tj 156 C) Drift 0Hrs Hrs Drift Part_ID:21 Relative deviation limit IVCCA5_Mux4:1 Alim Max 85 ma ±5% -0,58% IVCCA3_Mux4:1 Alim Max 86 ma -5% -0,50% IVCCD_Mux4:1_Alim Max 87 ma -5% -0,78% FullScale_GA_Typ 112 v -1% -0,61% VOL_STVF 200 v -5% 0,19% VOH_STVF 202 v -5% -0,15% Drift calculation after 3000hrs HTOL on 1 part Construction analysis : No defect after visual inspection and SEM cross-section at package and die levels :» Pull test and Ball shear test results were in spec. limits» Good aspect of SiGeC process Package tests : Test conditions :»500 x (-65 C / C) Temp. Cycling Thermal shock on 5 parts»50 Mechanical shock (1500g)+ 120 vibration (20g) on 5 parts Test results :»No parametric drift. Seal test OK»No assembly degradation : Pull test and Ball shear test results were in spec. limits» Qualification of ball bonding process 24 E2V EV12DS130A : open package Infineon SiGeC B7HF200 technology cross-section

25 EV12DS130A DAC RADIATION TESTS RESULTS : TID TID according to ESCC parts dynamically biased + 5 parts unbiased + Reference part 36 rad/h up to 110Krad 25 C anneal under bias during 24 hours after completion of irradiation accelerated ageing under bias (100 C for 168 hours) Parameters monitored : Supply currents, leakage on static inputs Level of harmonics H1, H2, H3, Fclk/4-Fout and in RF mode E2V EV12DS130A - Current consumption versus TID TID test results : No failure nor parameter drift up to 110 Krad (Si) at low dose rate of 36 rad/h 25

26 EV12DS130A DAC RADIATION TESTS RESULTS : HEAVY IONS SEE tests according to ESCC25100 No SEL observed up to a LET of 80MeV.cm²/mg at Tj=125 C No SEFI detected SET observed from LET 1.1 to 67.7 MeV.cm²/mg Only short duration transient on DSPCLK Different behaviors can be observed on DACOUT: Long duration transient for LET>31 MeV.cm²/mg» = successive erroneous conversion» Worst case transient duration is 100ns max» Periodicity not affected, but smooth variation of DACOUT amplitude: Short duration transient. See Fig.1: Worst case duration of ~20ns max. Same worst case Weibull curve can be applied on DSPCLK & DACOUT curves for all modes GEO Quiet Active CREME M3 CREME M8 Complete mission 15 years 16 days Rate/day 1.00E E E-02 MTBF (days) Heavy Ion SEE Rate calculation with OMERE (DACOUT & DSPCLK) Long SET DACOUT, NRTZ mode, 2760MS/s, LET=31MeV.cm²/mg 53 Heavy Ion DSPCLK SET cross section for all configurations 26

27 EV12DS130A DAC RADIATION TESTS RESULTS : PROTONS SEE tests according to ESCC25100 No SEL and no SEFI detected up to 200 MeV Very few events detected even if the device appears to be sensitive down to 20 MeV Worst case Weibull parameter is considered Same behavior on DSPCLK & DACOUT DSPCLK events: only slight variation of 1 period or glitches DACOUT events: only very short transients of ~ 2 to 3 ns DACOUT DSPCLK Saturation cross 1.50E E-10 section (cm²) E th (MeV) 1 1 S 1 1 W (MeV) 1 1 Protons Worst case Weibull parameters Rate/day MTBF (days) GEO Quiet CREME M3 15 years 0.00E+00 Active CREME M8 16 days 3.30E-01 3 Complete mission 9.64E Proton SEE Rate calculation with OMERE (DACOUT & DSPCLK) 27 Protons SET DACOUT cross section, all modes Protons SET DSPCLK cross section,all modes

28 COMPONENTS DEVELOPMENT PROGRAM AT CNES DEVELOPMENT AND SPACE EVALUATION FLOW VLSI & ASIC TECHNOLOGIES DEVELOPMENT AND EVALUATION PROGRESS REPORT DSM TECHNOLOGIES RELIABILITY AND RADIATION TESTS RESULTS FUTURE CHALLENGES CONCLUSION 28

29 FUTURE CHALLENGES Atmel 2.5Mg RH SRAM based FPGA : To Develop an Mg SRAM based reprogrammable FPGA Process : ST CMOS65LP (F) Architecture: NanoXplore Target specification : FRANCE SRAM-based FPGA 2.5M equivalent ASIC gates 324 clusters, LUT 5 832Kb RAM Unlimited reprogrammability RHBD no need for mitigation techniques during design Radiation performance:» SEL free for a LET of C junction,» No configuration memory upset up to 100 MeV/mg/cm²» SEU and SET susceptibility higher than a LET of 40 MeV/mg/cm².» 300Krads Packages: FlipChip and Highly Dissipative Hermetic Ceramic Package up to 2000 GERMANY Status : CNES contract launched for the development of the first prototype. Project duration: 27 months Complementary ESA contracts for Hardware and Software will start in Q4/12 29

30 FUTURE CHALLENGES Flip-Chip package for space : To develop and evaluate Flip-Chip capability for 65nm digital components & ASIC Investment by French government initiative : KO in Q3/12 After 65nm : Process to be selected Radiation and Reliability capabilities to be assessed versus space requirements 30

31 COMPONENTS DEVELOPMENT PROGRAM AT CNES DEVELOPMENT AND SPACE EVALUATION FLOW VLSI & ASIC TECHNOLOGIES DEVELOPMENT AND EVALUATION PROGRESS REPORT DSM TECHNOLOGIES RELIABILITY AND RADIATION TESTS RESULTS FUTURE CHALLENGES CONCLUSION 31

32 CONCLUSION CNES contribution to European Components Initiative for 7 years up to now Many activities in different technological domains Good collaboration/coordination : within the CTB and between CNES and ESA with JAXA (FPGA 450Kgates on LAPIS 150nm SOI CMOS process) A step forward to make available the future components necessary to improve the competitiveness of the European space industry in the international market. 32

33 ACKNOWLEDGEMENTS Marketing & Business Development Manager Atmel Space Data converters Project Manager E2V Space BU Manager STMicroelectronics CMOS065LP Space Program Manager STMicroelectronics 33

FIRST TELECOM APPLICATION OF DIGITAL AND MIXED COMPONENT DEVELOPMENTS: 65NM ASIC AND DATA CONVERTERS

FIRST TELECOM APPLICATION OF DIGITAL AND MIXED COMPONENT DEVELOPMENTS: 65NM ASIC AND DATA CONVERTERS AMICSA 2016 FIRST TELECOM APPLICATION OF DIGITAL AND MIXED COMPONENT DEVELOPMENTS: 65NM ASIC AND DATA CONVERTERS F. MALOU, C. AMIOT-BAZILE (CNES), P. VOISIN (TAS) 15th June, 2016 1 Outline FAST project

More information

ST in Aerospace Thibault BRUNET Marketing Manager

ST in Aerospace Thibault BRUNET Marketing Manager ST in Aerospace Thibault BRUNET Marketing Manager 1 Aerospace Industrial Operations Over the World Assy/Test Selection Wafer Fab IMS Group Wafer Fab Tours (F) Crolles (F) RENNES (F) IMS Group Wafer Fab

More information

STM RH-ASIC capability

STM RH-ASIC capability STM RH-ASIC capability JAXA 24 th MicroElectronic Workshop 13 th 14 th October 2011 Prepared by STM Crolles and AeroSpace Unit Deep Sub Micron (DSM) is strategic for Europe Strategic importance of European

More information

AT697 LEON2-FT FLIGHT MODELS

AT697 LEON2-FT FLIGHT MODELS AT697 LEON2-FT FLIGHT MODELS March 7, 2007 Prepared by Nicolas RENAUD Aerospace µprocessors & Radiation Effects Marketing Atmel ASIC Business Unit For LEON2 FT prototypes: CONTRACTS ESA contract n 15036/01/NL/FM

More information

ST 65nm a Hardened ASIC Technology for Space Applications

ST 65nm a Hardened ASIC Technology for Space Applications ST 65nm a Hardened ASIC Technology for Space Applications Laurent Hili ESA microelectronics section (TEC-EDM) Laurent.hili@esa.int Philippe Roche STMicroelectronics Philippe.roche@st.com Florence Malou

More information

An introduction to e2v High Reliability Semiconductor Solutions for Space. The 26th Microelectronics Workshop. Atsushi Oda e2v Japan

An introduction to e2v High Reliability Semiconductor Solutions for Space. The 26th Microelectronics Workshop. Atsushi Oda e2v Japan An introduction to e2v High Reliability Semiconductor Solutions for Space The 26th Microelectronics Workshop Atsushi Oda e2v Japan Atsushi.Oda@e2v.com +81 (0)45 228 5130 Agenda e2v corporate introduction

More information

A 65nm hardened ASIC technology for Space applications. KIPSAT 2.1 / 2.2 activities

A 65nm hardened ASIC technology for Space applications. KIPSAT 2.1 / 2.2 activities A 65nm hardened ASIC technology for Space applications KIPSAT 2.1 / 2.2 activities Thierry Scholastique ST Technical Officer Thierry.scholastique@st.com Laurent Hili ESA Technical Officer Laurent.hili@esa.int

More information

STMicroelectronics Rad-Hard Update 2017 Space Products Working Group

STMicroelectronics Rad-Hard Update 2017 Space Products Working Group Rad-Hard Update 2017 Space Products Working Group April 2017 John Widder Aerospace Product Marketing Aerospace Manufacturing Capacity Expansion 2 ISO CLASS 5 CLEAN ROOM Temperature: 22 C +/- 1 C Humidity:

More information

TID Influence on the SEE sensitivity of Active EEE components. Lionel Salvy

TID Influence on the SEE sensitivity of Active EEE components. Lionel Salvy TID Influence on the SEE sensitivity of Active EEE components Lionel Salvy Purpose of the study During space application, devices are subject to TID and SEE at the same time But part radiation qualification

More information

RHFAHC00. Rad-Hard, quad high speed NAND gate. Datasheet. Features. Applications. Description

RHFAHC00. Rad-Hard, quad high speed NAND gate. Datasheet. Features. Applications. Description Datasheet Rad-Hard, quad high speed NAND gate Features 1.8 V to 3.3 V nominal supply 3.6 V max. operating 4.8 V AMR Very high speed: propagation delay of 3 ns maximum guaranteed Pure CMOS process CMOS

More information

TID Influence on the SEE sensitivity of Active EEE components

TID Influence on the SEE sensitivity of Active EEE components TID Influence on the SEE sensitivity of Active EEE components ESA Contract No. 4000111336 Lionel Salvy, Benjamin Vandevelde, Lionel Gouyet Anne Samaras, Athina Varotsou, Nathalie Chatry Alexandre Rousset,

More information

EV12DS130B - VN54B - Radiation test Report

EV12DS130B - VN54B - Radiation test Report EV12DS130B - VN54B - Radiation test Report Revision date : June 2016 uthor : BONNET OLIVIER Scope : BUSINESS UNIT BMS Last revision approved by : pproved by pprobation Status Date SVST Eric YES 10/06/2016

More information

Electrical-Radiation test results of VASP and Flight Model Development Plan. Philippe AYZAC THALES ALENIA SPACE

Electrical-Radiation test results of VASP and Flight Model Development Plan. Philippe AYZAC THALES ALENIA SPACE Electrical-Radiation test results of VASP and Flight Model Development Plan Philippe AYZAC THALES ALENIA SPACE AGENDA Page 2 HIVAC / VASP project reminder Electrical test results Functional tests Characterization

More information

The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA

The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA Oct. 30th 2007 Electronic, Mechanical Components and Materials Engineering Group, JAXA H.Shindou Background In 2003, critical EEE

More information

R 7 IRHLNA N7604U2 60V, N-CHANNEL RADIATION HARDENED LOGIC LEVEL POWER MOSFET SURFACE MOUNT (SMD-2) PD-97177C TECHNOLOGY

R 7 IRHLNA N7604U2 60V, N-CHANNEL RADIATION HARDENED LOGIC LEVEL POWER MOSFET SURFACE MOUNT (SMD-2) PD-97177C TECHNOLOGY PD-9777C IRHLNA7764 2N764U2 RADIATION HARDENED LOGIC LEVEL POWER MOSFET SURFACE MOUNT (SMD-2) 6V, N-CHANNEL R 7 TECHNOLOGY Product Summary Part Number Radiation Level RDS(on) I D IRHLNA7764 krads(si).2

More information

R 7 2N7624U3 IRHLNJ V, P-CHANNEL RADIATION HARDENED LOGIC LEVEL POWER MOSFET SURFACE MOUNT (SMD-0.5) PD-97302D TECHNOLOGY.

R 7 2N7624U3 IRHLNJ V, P-CHANNEL RADIATION HARDENED LOGIC LEVEL POWER MOSFET SURFACE MOUNT (SMD-0.5) PD-97302D TECHNOLOGY. PD-9732D 2N7624U3 IRHLNJ79734 RADIATION HARDENED LOGIC LEVEL POWER MOSFET SURFACE MOUNT (SMD-.5) 6V, P-CHANNEL R 7 TECHNOLOGY Product Summary Part Number Radiation Level RDS(on) I D IRHLNJ79734 krads(si).72-22a*

More information

Description. Table 1. Device summary. Reference SMD pin Quality level Package Lead finish Mass EPPL (1) Engineering model

Description. Table 1. Device summary. Reference SMD pin Quality level Package Lead finish Mass EPPL (1) Engineering model Rad-hard quad LVDS driver Datasheet - production data Guaranteed up to 300 krad TID SEL immune up to 135 MeV.cm²/mg SET/SEU immune up to 67 MeV.cm²/mg Description Features Ceramic Flat-16 The upper metallic

More information

Evaluation of a 10-bit 2.2 Gsps ADC for Space Applications

Evaluation of a 10-bit 2.2 Gsps ADC for Space Applications Evaluation of a 10-bit 2.2 Gsps ADC for Space Applications D. Bellin October, 3 rd 2006 J. Bellefet (e2v) C. Carmona (e2v) F. Malou (CNES) P. Ribeiro (TRAD) Outline e2v grenoble presentation Overview of

More information

First S-Band Capable Dual 12-bit 1.5GSps ADC in Flip-Chip Hermetic Technology

First S-Band Capable Dual 12-bit 1.5GSps ADC in Flip-Chip Hermetic Technology First S-Band Capable Dual 12-bit 1.5GSps ADC in Flip-Chip Hermetic Technology E. Savasta, N. Chantier, R. Pilard, M. Stackler, G. Wagner, C. Lambert, O. Boillon, J-P. Amblard, E. Bajat, e2v Semicondutors

More information

Description. Table 1. Device summary. Reference SMD pin Quality level Package Lead finish Mass EPPL (1) Engineering model

Description. Table 1. Device summary. Reference SMD pin Quality level Package Lead finish Mass EPPL (1) Engineering model Rad-hard quad LVDS receivers Datasheet - production data Large input common mode: -4 V to +5 V Guaranteed up to 300 krad TID SEL immune up to 135 MeV.cm²/mg SET/SEU immune up to 32 MeV.cm²/mg Description

More information

IRHLNM7S7110 2N7609U8

IRHLNM7S7110 2N7609U8 PD-97888 IRHLNM7S7 RADIATION HARDENED LOGIC LEVEL POWER MOSFET SURFACE MOUNT (SMD-.2) V, N-CHANNEL TECHNOLOGY Product Summary Part Number Radiation Level RDS(on) I D IRHLMN7S7 krads(si).29 6.5A IRHLMN7S3

More information

Part Number Radiation Level RDS(on) I D IRHLUC7970Z4 100 krads(si) A IRHLUC7930Z4 300 krads(si) A LCC-6

Part Number Radiation Level RDS(on) I D IRHLUC7970Z4 100 krads(si) A IRHLUC7930Z4 300 krads(si) A LCC-6 PD-97574A RADIATION HARDENED LOGIC LEVEL POWER MOSFET SURFACE MOUNT (LCC-6) 6V, DUAL P-CHANNEL R 7 TECHNOLOGY Product Summary Part Number Radiation Level RDS(on) I D krads(si).6 -.65A IRHLUC793Z4 3 krads(si).6

More information

HMXCMP01 Radiation Hardened Comparator

HMXCMP01 Radiation Hardened Comparator HMXCMP01 Radiation Hardened Comparator Features PRODUCTION - Release - 22 Jul 201 12:8:17 MST - Printed on 31 Jan 2017 Rad Hard 300krad (Si) Analog supply voltage:.75v to 5.25V Digital supply voltage:

More information

Evaluating the NanoXplore 65nm RadHard FPGA for CERN applications. Georgios Tsiligiannis

Evaluating the NanoXplore 65nm RadHard FPGA for CERN applications. Georgios Tsiligiannis Evaluating the NanoXplore 65nm RadHard FPGA for CERN applications Georgios Tsiligiannis Outline FPGA under study Irradiation Test Setup Experimental Results Future steps Conclusions 2 FPGA under study

More information

Low Power, Radiation tolerant microelectronics design techniques. Executive Summary REF : ASP-04-BO/PE-476 DATE : 02/11/2004 ISSUE : -/2 PAGE : 1 /18

Low Power, Radiation tolerant microelectronics design techniques. Executive Summary REF : ASP-04-BO/PE-476 DATE : 02/11/2004 ISSUE : -/2 PAGE : 1 /18 ISSUE : -/2 PAGE : 1 /18 Executive Summary Written by Responsibility-Company Date Signature Project team Alcatel Space and Imec Verified by Emmanuel Liegeon ASIC Design Engineer - Study responsible Approved

More information

Absolute Maximum Ratings (Per Die)

Absolute Maximum Ratings (Per Die) PD-97887 IRHLG7S7 RADIATION HARDENED LOGIC LEVEL POWER MOSFET THRU-HOLE (MO-36AB) V, QUAD N-CHANNEL TECHNOLOGY Product Summary Part Number Radiation Level RDS(on) I D IRHLG7S7 krads(si).33.8a IRHLG7S3

More information

Absolute Maximum Ratings (Per Die)

Absolute Maximum Ratings (Per Die) PD-9778A IRHLG77 RADIATION HARDENED LOGIC LEVEL POWER MOSFET THRU-HOLE (MO-36AB) V, N-CHANNEL TECHNOLOGY Product Summary Part Number Radiation Level RDS(on) I D IRHLG77 krads(si).285.8a IRHLG73 3 krads(si).285.8a

More information

UT54ACS164245SEI Schmitt CMOS 16-bit Bidirectional MultiPurpose Transceiver Datasheet

UT54ACS164245SEI Schmitt CMOS 16-bit Bidirectional MultiPurpose Transceiver Datasheet UT54ACS164245SEI Schmitt CMOS 16-bit Bidirectional MultiPurpose Transceiver Datasheet April 2016 www.aeroflex.com/16bitlogic FEATURES Flexible voltage operation - 5V bus to 3.3V bus; 5V bus to 5V bus -

More information

768A PRELIMINARY. Memory FEATURES: DESCRIPTION: 16-BIT, 30 MSPS DIGITAL-TO-ANALOG CONVERTER 768A. Functional Block Diagram

768A PRELIMINARY. Memory FEATURES: DESCRIPTION: 16-BIT, 30 MSPS DIGITAL-TO-ANALOG CONVERTER 768A. Functional Block Diagram 16-BIT, 30 MSPS DIGITAL-TO-ANALOG CONVERTER Functional Block Diagram FEATURES: RAD-PAK technology-hardened against natural space radiation Total dose hardness: > 100 krad (Si), depending upon space mission

More information

Description. Temp. range -55 C to 125 C. Notes: (1) SMD: standard microcircuit drawing

Description. Temp. range -55 C to 125 C. Notes: (1) SMD: standard microcircuit drawing Rad-hard precision quad operational amplifier Datasheet - production data Features Ceramic Flat-14W The upper metallic lid is not electrically connected to any pins, nor to the IC die inside the package

More information

Radiation and Reliability Considerations in Digital Systems for Next Generation CubeSats

Radiation and Reliability Considerations in Digital Systems for Next Generation CubeSats Radiation and Reliability Considerations in Digital Systems for Next Generation CubeSats Enabling Technology: P200k-Lite Radiation Tolerant Single Board Computer for CubeSats Clint Hadwin, David Twining,

More information

RHF43B. Rad-hard precision bipolar single operational amplifier. Datasheet. Features. Applications. Description

RHF43B. Rad-hard precision bipolar single operational amplifier. Datasheet. Features. Applications. Description Datasheet Rad-hard precision bipolar single operational amplifier NC IN - IN + VDD 1 4 Ceramic Flat-8 _ + 8 5 NC VC C OUT NC The upper metallic lid is not electrically connected to any pins, nor to the

More information

Prerelease product(s)

Prerelease product(s) Datasheet Aerospace 60 A - 200 V fast recovery rectifier STTH60200CSA1 31218 FR SMD1 Features Very small conduction losses Negligible switching losses High surge current capability Hermetic package TID

More information

AMICSA Bridging Science & Applications F r o m E a r t h t o S p a c e a n d b a c k. Kayser-Threde GmbH. Space

AMICSA Bridging Science & Applications F r o m E a r t h t o S p a c e a n d b a c k. Kayser-Threde GmbH. Space Bridging Science & Applications F r o m E a r t h t o S p a c e a n d b a c k E a r t h S p a c e & F u t u r e Kayser-Threde GmbH Space Industrial Applications AMICSA 2008 First radiation test results

More information

2N7622U2 IRHLNA797064

2N7622U2 IRHLNA797064 PD-97174B RADIATION HARDENED LOGIC LEVEL POWER MOSFET SURFACE-MOUNT (SMD-2) 6V, P-CHANNEL TECHNOLOGY Product Summary Part Number Radiation Level RDS(on) I D krads(si).17-56a* IRHLNA79364 3 krads(si).17-56a*

More information

NOTE: This product has been replaced with UT28F256QLE or SMD device types 09 and 10.

NOTE: This product has been replaced with UT28F256QLE or SMD device types 09 and 10. NOTE: This product has been replaced with UT28F256QLE or SMD 5962-96891 device types 09 and 10. 1 Standard Products UT28F256 Radiation-Hardened 32K x 8 PROM Data Sheet December 2002 FEATURES Programmable,

More information

STRH8N10. Rad-Hard 100 V, 6 A N-channel Power MOSFET. Features. Applications. Description

STRH8N10. Rad-Hard 100 V, 6 A N-channel Power MOSFET. Features. Applications. Description Rad-Hard 100 V, 6 A N-channel Power MOSFET Features Datasheet - production data V DSS I D R DS(on) Q g 100 V 6 A 0.30 Ω 22 nc SMD.5 Fast switching 100% avalanche tested Hermetic package 50 krad TID SEE

More information

Features. Description. Table 1. Device summary. Gold TO-257AA

Features. Description. Table 1. Device summary. Gold TO-257AA Rad-Hard 100 V, 12 A P-channel Power MOSFET Features Datasheet - production data V DSS I D R DS(on) Q g 100V 12 A 265 mω 40 nc TO-257AA 1 2 3 Fast switching 100% avalanche tested Hermetic package 100 krad

More information

UT54ACS164245S/SE Schmitt CMOS 16-bit Bidirectional MultiPurpose Transceiver Datasheet

UT54ACS164245S/SE Schmitt CMOS 16-bit Bidirectional MultiPurpose Transceiver Datasheet UT54ACS164245S/SE Schmitt CMOS 16-bit Bidirectional MultiPurpose Transceiver Datasheet April 2016 www.aeroflex.com/16bitlogic FEATURES Voltage translation - 5V bus to 3.3V bus - 3.3V bus to 5V bus Cold

More information

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February Semicustom Products UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February 2018 www.cobham.com/hirel The most important thing we build is trust FEATURES Up to 50,000,000 2-input NAND equivalent

More information

Bridging Science & Applications F r o m E a r t h t o S p a c e a n d b a c k

Bridging Science & Applications F r o m E a r t h t o S p a c e a n d b a c k Bridging Science & Applications F r o m E a r t h t o S p a c e a n d b a c k E a r t h S p a c e & F u t u r e Kayser-Threde GmbH A 12 Bit High Speed Broad Band Low Power Digital to Analog Converter for

More information

UT54ACS162245SLV Schmitt CMOS 16-bit Bidirectional MultiPurpose Low Voltage Transceiver Datasheet

UT54ACS162245SLV Schmitt CMOS 16-bit Bidirectional MultiPurpose Low Voltage Transceiver Datasheet UT54ACS162245SLV Schmitt CMOS 16-bit Bidirectional MultiPurpose Low Voltage Transceiver Datasheet September, 2014 FEATURES Voltage translation -.V bus to 2.5V bus - 2.5V bus to.v bus Cold sparing all pins

More information

IRHNJ63C krads(si) A SMD-0.5

IRHNJ63C krads(si) A SMD-0.5 PD-9798D 2N7598U3 IRHNJ67C3 RADIATION HARDENED POWER MOSFET SURFACE MOUNT (SMD-.5) 6V, N-CHANNEL TECHNOLOGY Product Summary Part Number Radiation Level RDS(on) I D IRHNJ67C3 krads(si) 3. 3.4A IRHNJ63C3

More information

A13 A12 A11 A10 ROW DECODER DQ0 INPUT DATA CONTROL WE OE DESCRIPTION: DDC s 32C408B high-speed 4 Megabit SRAM

A13 A12 A11 A10 ROW DECODER DQ0 INPUT DATA CONTROL WE OE DESCRIPTION: DDC s 32C408B high-speed 4 Megabit SRAM 32C48B 4 Megabit (12K x 8-Bit) SRAM A13 A A1 A2 A3 A4 CS 1 36 NC A18 A17 A16 A1 OE A12 A11 A1 A9 A8 A7 A6 A A4 ROW DECODER MEMORY MATRIX 124 ROWS x 496 COLUMNS I/O1 I/O8 I/O2 Vcc Vss I/O3 32C48B I/O7 Vss

More information

on-chip Design for LAr Front-end Readout

on-chip Design for LAr Front-end Readout Silicon-on on-sapphire (SOS) Technology and the Link-on on-chip Design for LAr Front-end Readout Ping Gui, Jingbo Ye, Ryszard Stroynowski Department of Electrical Engineering Physics Department Southern

More information

Product Specification PE94302

Product Specification PE94302 Product Description Peregrine s is a high linearity, 6-bit UltraCMOS RF digital step attenuator (DSA). This 50Ω RF DSA covers a 31.5 db attenuation range in 0.5 db steps. It provides both parallel and

More information

IRHYS9A7130CM JANSR2N7648T3

IRHYS9A7130CM JANSR2N7648T3 PD-97844A RADIATION HARDENED POWER MOSFET THRU-HOLE (Low-Ohmic TO-257AA) V, N-CHANNEL REF: MIL-PRF-95/775 R 9 TECHNOLOGY Product Summary Part Number Radiation Level RDS(on) I D krads (Si) 35m 3A* IRHYS9A33CM

More information

RIC74424H RADIATION HARDENED NON-INVERTING DUAL OUTPUT MOSFET DRIVERS PD Product Summary. Description

RIC74424H RADIATION HARDENED NON-INVERTING DUAL OUTPUT MOSFET DRIVERS PD Product Summary. Description PD-97901 RIC74424H RADIATION HARDENED NON-INVERTING DUAL OUTPUT MOSFET DRIVERS Product Summary Part Number Output Voltage Range Peak Current Typical ton/toff RIC74424H 5 to 20V 3A 110ns/90ns 8 LEAD FLAT

More information

Component Miniaturization and High-Density Technologies in Space Applications

Component Miniaturization and High-Density Technologies in Space Applications Component Miniaturization and High-Density Technologies in Space Applications Norio NEMOTO Parts Program Office Safety and Mission Assurance Department JAXA 2014/10/23 MEWS 27 1 1. JAXA EEE Parts Organization

More information

UT32BS1X833 Matrix-D TM 32-Channel 1:8 Bus Switch October, 2018 Datasheet

UT32BS1X833 Matrix-D TM 32-Channel 1:8 Bus Switch October, 2018 Datasheet UT32BS1X833 Matrix-D TM 32-Channel 1:8 Bus Switch October, 2018 Datasheet The most important thing we build is trust FEATURES Interfaces to standard processor memory busses Single-chip interface that provides

More information

8-Channel Fault-Protected Analog Multiplexer

8-Channel Fault-Protected Analog Multiplexer 358 8-Channel Fault-Protected Analog Multiplexer FEATURES: RAD-PAK technology-hardened against natural space radiation Total dose hardness: - > 50 krad (Si), depending upon space mission Excellent Single

More information

RH-54AC/ACT series. Advanced Rad-Hardened high speed CMOS 5V logic series. Features. Description

RH-54AC/ACT series. Advanced Rad-Hardened high speed CMOS 5V logic series. Features. Description Features Advanced Rad-Hardened high speed CMOS 5V logic series High speed T PD = 4.5ns typ Low power DC dissipation: 8µA max Symmetrical 24mA outputs characteristics Data Brief High noise immunity, 28%

More information

I D. Operating Junction and -55 to T STG. C Lead Temperature 300 (0.063 in. /1.6 mm from case for 10s) Weight 0.98 (Typical) g

I D. Operating Junction and -55 to T STG. C Lead Temperature 300 (0.063 in. /1.6 mm from case for 10s) Weight 0.98 (Typical) g RADIATION HARDENED POWER MOSFET THRU-HOLE TO-25AF (TO-39) PD-93789G IRHF573 V, N-CHANNEL REF: MIL-PRF-95/7 TECHNOLOGY R 5 Product Summary Part Number Radiation Level RDS(on) QPL Part Number IRHF573 krads(si).8.7a

More information

UT54LVDS032 Quad Receiver Data Sheet September 2015

UT54LVDS032 Quad Receiver Data Sheet September 2015 Standard Products UT54LVDS032 Quad Receiver Data Sheet September 2015 The most important thing we build is trust FEATURES INTRODUCTION >155.5 Mbps (77.7 MHz) switching rates +340mV nominal differential

More information

CMOS Quad Rail-to-Rail I/O Op Amp DESCRIPTION: FEATURES: Logic Diagram

CMOS Quad Rail-to-Rail I/O Op Amp DESCRIPTION: FEATURES: Logic Diagram 6484 CMOS Quad Rail-to-Rail I/O Op Amp V+ IN+A IN+D IN-A OUT A OUT D IN-D V- IN+B OUT B OUT C IN+C IN-B Logic Diagram IN-C FEATURES: Rad-Pak technology-hardened against natural space radiation Total dose

More information

UT28F64 Radiation-Hardened 8K x 8 PROM Data Sheet

UT28F64 Radiation-Hardened 8K x 8 PROM Data Sheet Standard Products UT28F64 Radiation-Hardened 8K x 8 PROM Data Sheet August 2001 FEATURES Programmable, read-only, asynchronous, radiationhardened, 8K x 8 memory - Supported by industry standard programmer

More information

IRHG V, Combination 2N-2P CHANNEL R TECHNOLOGY RADIATION HARDENED POWER MOSFET THRU-HOLE (MO-036AB) PD-94246D

IRHG V, Combination 2N-2P CHANNEL R TECHNOLOGY RADIATION HARDENED POWER MOSFET THRU-HOLE (MO-036AB) PD-94246D PD-94246D IRHG567 RADIATION HARDENED POWER MOSFET THRU-HOLE (MO-36AB) V, Combination 2N-2P CHANNEL R TECHNOLOGY 5 Product Summary Part Number Radiation Level RDS(on) I D IRHG567 krads(si).29.6a IRHG563

More information

IRHF57234SE 100 krads(si) A TO-39

IRHF57234SE 100 krads(si) A TO-39 PD-9383C IRHF57234SE RADIATION HARDENED POWER MOSFET THRU-HOLE TO-25AF (TO-39) 25V, N-CHANNEL R 5 TECHNOLOGY Product Summary Part Number Radiation Level RDS(on) I D IRHF57234SE krads(si).42 5.2A TO-39

More information

IRHNA JANSR2N7524U2 R 5 60V, P-CHANNEL REF: MIL-PRF-19500/733 RADIATION HARDENED POWER MOSFET SURFACE MOUNT (SMD-2) PD-94604D TECHNOLOGY

IRHNA JANSR2N7524U2 R 5 60V, P-CHANNEL REF: MIL-PRF-19500/733 RADIATION HARDENED POWER MOSFET SURFACE MOUNT (SMD-2) PD-94604D TECHNOLOGY PD-9464D IRHNA59764 RADIATION HARDENED POWER MOSFET SURFACE MOUNT (SMD-2) 6V, P-CHANNEL REF: MIL-PRF-195/733 R 5 TECHNOLOGY Product Summary Part Number Radiation Level RDS(on) I D QPL Part Number IRHNA59764

More information

Development of Radiation-Hard ASICs for the ATLAS Phase-1 Liquid Argon Calorimeter Readout Electronics Upgrade

Development of Radiation-Hard ASICs for the ATLAS Phase-1 Liquid Argon Calorimeter Readout Electronics Upgrade Development of Radiation-Hard ASICs for the ATLAS Phase-1 Liquid Argon Calorimeter Readout Electronics Upgrade Tim Andeen*, Jaroslav BAN, Nancy BISHOP, Gustaaf BROOIJMANS, Alex EMERMAN,Ines OCHOA, John

More information

Description. Notes: (1) SMD: standard microcircuit drawing. (2) EPPL = ESA preferred part list

Description. Notes: (1) SMD: standard microcircuit drawing. (2) EPPL = ESA preferred part list Rad-hard very high-speed comparator Datasheet - production data NC -IN +IN VCC- 1 4 Ceramic Flat-8 Features Propagation time of 7 ns Rise/fall time: 1.1 ns on 10 pf Low consumption: 1.4 ma Single supply:

More information

IRHNA9160 JANSR2N7425U

IRHNA9160 JANSR2N7425U PD-91433D IRHNA9160 RADIATION HARDENED POWER MOSFET SURFACE MOUNT (SMD-2) 100V, P-CHANNEL REF: MIL-PRF-19500/655 RAD-Hard HEXFET TECHNOLOGY Product Summary Part Number Radiation Level RDS(on) I D QPL Part

More information

Features. Description. Table 1. Device summary. Quality level. Package. Gold TO-254AA

Features. Description. Table 1. Device summary. Quality level. Package. Gold TO-254AA Rad-Hard 100 V, 48 A N-channel Power MOSFET Features Datasheet - production data V BDSS I D R DS(on) Q g 100 V 48 A 30 mω 135 nc TO-254AA 3 1 2 Fast switching 100% avalanche tested Hermetic package 50

More information

UT54ACS86E Quadruple 2-Input Exclusive OR Gates January, 2018 Datasheet

UT54ACS86E Quadruple 2-Input Exclusive OR Gates January, 2018 Datasheet UT54ACS86E Quadruple 2-Input Exclusive OR Gates January, 2018 Datasheet The most important thing we build is trust FEATURES m CRH CMOS process - Latchup immune High speed Low power consumption Wide power

More information

Radiation Hardened Ultra Low Dropout Adjustable Positive Linear Regulator

Radiation Hardened Ultra Low Dropout Adjustable Positive Linear Regulator PD-97589C Radiation Hardened Ultra Low Dropout Adjustable Positive Linear Regulator (5962F1023501K) IRUH3301A1BK +3.3V IN to V ADJ @3.0A Product Summary Part Number Dropout I O V IN V OUT IRUH3301A1BK

More information

UT54LVDM031LV Low Voltage Bus-LVDS Quad Driver Data Sheet September, 2015

UT54LVDM031LV Low Voltage Bus-LVDS Quad Driver Data Sheet September, 2015 Standard Products UT54LVDM031LV Low Voltage Bus-LVDS Quad Driver Data Sheet September, 2015 The most important thing we build is trust FEATURES >400.0 Mbps (200 MHz) switching rates +340mV nominal differential

More information

Standard Products UT54ACTS220 Clock and Wait-State Generation Circuit. Datasheet November 2010

Standard Products UT54ACTS220 Clock and Wait-State Generation Circuit. Datasheet November 2010 Standard Products UT54ACTS220 Clock and Wait-State Generation Circuit Datasheet November 2010 www.aeroflex.com/logic FEATURES 1.2μ CMOS - Latchup immune High speed Low power consumption Single 5 volt supply

More information

RADIATION HARDENED MIXED-SIGNAL IP WITH DARE TECHNOLOGY

RADIATION HARDENED MIXED-SIGNAL IP WITH DARE TECHNOLOGY RADIATION HARDENED MIXED-SIGNAL IP WITH DARE TECHNOLOGY Geert Thys (1), Steven Redant (1), Eldert Geukens (2), Yves Geerts (2), M.Fossion (3), M. Melotte (3) (1) Imec, Kapeldreef 75, 3001 Leuven, Belgium

More information

Towards an ADC for the Liquid Argon Electronics Upgrade

Towards an ADC for the Liquid Argon Electronics Upgrade 1 Towards an ADC for the Liquid Argon Electronics Upgrade Gustaaf Brooijmans Upgrade Workshop, November 10, 2009 2 Current LAr FEB Existing FEB (radiation tolerant for LHC, but slhc?) Limits L1 latency

More information

IRHY63C30CM 300k Rads(Si) A TO-257AA

IRHY63C30CM 300k Rads(Si) A TO-257AA PD-95837D 2N7599T3 IRHY67C3CM RADIATION HARDENED POWER MOSFET THRU-HOLE (TO-257AA) 6V, N-CHANNEL TECHNOLOGY Product Summary Part Number Radiation Level RDS(on) I D IRHY67C3CM k Rads(Si) 3. 3.4A IRHY63C3CM

More information

UT54ACS14E/UT54ACTS14E

UT54ACS14E/UT54ACTS14E UT54ACS14E/UT54ACTS14E Hex Inverting Schmitt Triggers October, 2008 www.aeroflex.com/logic Datasheet FEATURES 0.6μm CRH CMOS Process - Latchup immune High speed Low power consumption Wide power supply

More information

OP400A. Quad Low-Offset, Low-Power Operational Amplifier FEATURES: DESCRIPTION: Memory. Logic Diagram (One Amplifier)

OP400A. Quad Low-Offset, Low-Power Operational Amplifier FEATURES: DESCRIPTION: Memory. Logic Diagram (One Amplifier) Quad Low-Offset, Low-Power Operational Amplifier v+ BIAS OUT VOLTAGE LIMITING NETWORK +IN -IN v- Logic Diagram (One Amplifier) FEATURES: RAD-PAK technology-hardened against natural space radiation Total

More information

FTTC05 Series LVDS TCXO/VCTCXO FOR SPACE APPLICATIONS 10M Hz to 1400MHz. ( 5 x 7 mm, SMD, 2.5 V )

FTTC05 Series LVDS TCXO/VCTCXO FOR SPACE APPLICATIONS 10M Hz to 1400MHz. ( 5 x 7 mm, SMD, 2.5 V ) REV LTR DESCRIPTION DATE APPVD. - Orig. Release 01/16/10 JSN A Revised per ECN 2012-1 11/09/12 JSN B Revised per ECN 2014-1 03/26/14 JSN C Revised per ECN 2016-2 10/21/16 JSN FTTC05 Series LVDS TCXO/VCTCXO

More information

7545B. 12-Bit Buffered Multiplying Digital to Analog Converter FEATURES: DESCRIPTION: 7545B BLOCK DIAGRAM

7545B. 12-Bit Buffered Multiplying Digital to Analog Converter FEATURES: DESCRIPTION: 7545B BLOCK DIAGRAM 12-Bit Buffered Multiplying FEATURES: BLOCK DIAGRAM DESCRIPTION: RAD-PAK patented shielding against natural space radiation Total dose hardness: - > 50 krad (Si), depending upon space mission Excellent

More information

Aurelia Microelettronica S.p.A. SIRAD 2004 CAN BUS PHYSICAL LAYER RAD TEST. Thanks for their work to: Andrea Candelori Marco Ceschia

Aurelia Microelettronica S.p.A. SIRAD 2004 CAN BUS PHYSICAL LAYER RAD TEST. Thanks for their work to: Andrea Candelori Marco Ceschia Aurelia Microelettronica S.p.A. SIRAD 2004 CAN BUS PHYSICAL LAYER RAD TEST Thanks for their work to: Andrea Candelori Marco Ceschia Via Giuntini, 13 - I 56023 Cascina (Italy) Phone: +39.050.754260 Fax:

More information

54LVTH PRELIMINARY. 3.3V 16-Bit Transparent D-Type Latches. Memory DESCRIPTION: FEATURES: Logic Diagram

54LVTH PRELIMINARY. 3.3V 16-Bit Transparent D-Type Latches. Memory DESCRIPTION: FEATURES: Logic Diagram PRELIMINARY 1OE 1Q1 1Q2 1Q3 1Q4 VCC 1Q5 1Q6 1Q7 1Q8 2Q1 2Q2 2Q3 2Q4 VCC 2Q5 2Q6 2Q7 2Q8 2OE FEATURES: 1 48 54LVTH162373 24 25 1LE 1D1 1D2 1D3 1D4 VCC 1D5 1D6 1D7 1D8 2D1 2D2 2D3 2D4 VCC 2D5 2D6 2D7 2D8

More information

UT54LVDS032LV/E Low Voltage Quad Receiver Data Sheet October, 2017

UT54LVDS032LV/E Low Voltage Quad Receiver Data Sheet October, 2017 Standard Products UT54LVDS032LV/E Low Voltage Quad Receiver Data Sheet October, 2017 The most important thing we build is trust FEATURES >400.0 Mbps (200 MHz) switching rates +340mV differential signaling

More information

Low-Power Quad Operational Amplifier FEATURES: DESCRIPTION: Memory. Logic Diagram. RAD-PAK technology-hardened against natural space radiation

Low-Power Quad Operational Amplifier FEATURES: DESCRIPTION: Memory. Logic Diagram. RAD-PAK technology-hardened against natural space radiation Low-Power Quad Operational Amplifier FEATURES: RAD-PAK technology-hardened against natural space radiation Total dose hardness: - > 100 krad (Si), depending upon space mission Excellent Single Event Effects:

More information

Absolute Maximum Ratings for Each N-Channel Device

Absolute Maximum Ratings for Each N-Channel Device PD-967D IRHG7 RADIATION HARDENED POWER MOSFET THRU-HOLE (MO-36AB) V, QUAD N CHANNEL RAD-Hard HEXFET TECHNOLOGY Product Summary Part Number Radiation Level RDS(on) I D IRHG7 krads(si).6.a IRHG3 3 krads(si).7.a

More information

54VCXH Low voltage CMOS 16-bit bus buffer (3-state non inverter) with 3.6 V tolerant inputs and outputs. Features.

54VCXH Low voltage CMOS 16-bit bus buffer (3-state non inverter) with 3.6 V tolerant inputs and outputs. Features. Low voltage CMOS 16-bit bus buffer (3-state non inverter) with 3.6 V tolerant inputs and outputs Features 1.65 to 3.6 V inputs and outputs High speed: t PD = 3.4 ns at V CC = 3.0 to 3.6 V t PD = 3.8 ns

More information

16-Channel CMOS Analog Multiplexer. Memory

16-Channel CMOS Analog Multiplexer. Memory 306 16-Channel CMOS Analog Multiplexer FEATURES: RAD-PAK technology radiation-hardened against natural space radiation Total dose hardness: - > 50 Krad (Si), depending upon space mission Excellent Single

More information

IRHNJ597Z30 JANSR2N7519U3 R 5 30V, P-CHANNEL REF: MIL-PRF-19500/732 RADIATION HARDENED POWER MOSFET SURFACE MOUNT (SMD-0.5) PD-94661C TECHNOLOGY

IRHNJ597Z30 JANSR2N7519U3 R 5 30V, P-CHANNEL REF: MIL-PRF-19500/732 RADIATION HARDENED POWER MOSFET SURFACE MOUNT (SMD-0.5) PD-94661C TECHNOLOGY PD-9466C IRHNJ597Z3 RADIATION HARDENED POWER MOSFET SURFACE MOUNT (SMD-.5) 3V, P-CHANNEL REF: MIL-PRF-95/732 R 5 TECHNOLOGY Product Summary Part Number Radiation Level RDS(on) I D QPL Part Number IRHNJ597Z3

More information

54LVTH PRELIMINARY. 3.3V 16-Bit Transparent D-Type Latches. Memory DESCRIPTION: FEATURES: Logic Diagram

54LVTH PRELIMINARY. 3.3V 16-Bit Transparent D-Type Latches. Memory DESCRIPTION: FEATURES: Logic Diagram PRELIMINARY 1OE 1Q1 1Q2 1Q3 1 48 1LE 1D1 1D2 1D3 Logic Diagram (PositiveLogic) 1OE/2OE 1/24 54LVTH162373 3.3V 16-Bit Transparent D-Type Latches 1Q4 1D4 VCC 1Q5 1Q6 VCC 1D5 1D6 1LE/2LE 48/25 1Q7 1Q8 2Q1

More information

2N7606U3 LOGIC LEVEL POWER MOSFET SURFACE MOUNT (SMD-0.5) 60V, N-CHANNEL TECHNOLOGY. Absolute Maximum Ratings

2N7606U3 LOGIC LEVEL POWER MOSFET SURFACE MOUNT (SMD-0.5) 60V, N-CHANNEL TECHNOLOGY. Absolute Maximum Ratings PD-973B RADIATION HARDENED LOGIC LEVEL POWER MOSFET SURFACE MOUNT (SMD-.5) Product Summary Part Number Radiation Level RDS(on) ID IRHLNJ7734 K Rads (Si).35Ω 22A* IRHLNJ7334 3K Rads (Si).35Ω 22A* 2N766U3

More information

IRHNS57160 R 5 100V, N-CHANNEL. RADIATION HARDENED POWER MOSFET SURFACE MOUNT (SupIR-SMD) PD-97879A TECHNOLOGY. Product Summary

IRHNS57160 R 5 100V, N-CHANNEL. RADIATION HARDENED POWER MOSFET SURFACE MOUNT (SupIR-SMD) PD-97879A TECHNOLOGY. Product Summary PD-97879A IRHNS576 RADIATION HARDENED POWER MOSFET SURFACE MOUNT (SupIR-SMD) V, N-CHANNEL R 5 TECHNOLOGY Product Summary Part Number Radiation Level RDS(on) I D IRHNS576 krads(si).2 75A* IRHNS536 3 krads(si).2

More information

Electronic Radiation Hardening - Technology Demonstration Activities (TDAs)

Electronic Radiation Hardening - Technology Demonstration Activities (TDAs) Electronic Radiation Hardening - Technology Demonstration Activities (TDAs) Véronique Ferlet-Cavrois ESA/ESTEC Acknowledgements to Ali Mohammadzadeh, Christian Poivey, Marc Poizat, Fredrick Sturesson ESA/ESTEC,

More information

IRHNA57264SE JANSR2N7474U2 R 5 250V, N-CHANNEL REF: MIL-PRF-19500/684 RADIATION HARDENED POWER MOSFET SURFACE MOUNT (SMD-2) PD-93816G TECHNOLOGY

IRHNA57264SE JANSR2N7474U2 R 5 250V, N-CHANNEL REF: MIL-PRF-19500/684 RADIATION HARDENED POWER MOSFET SURFACE MOUNT (SMD-2) PD-93816G TECHNOLOGY PD-9386G IRHNA57264SE RADIATION HARDENED POWER MOSFET SURFACE MOUNT (SMD-2) 25V, N-CHANNEL REF: MIL-PRF-95/684 R 5 TECHNOLOGY Product Summary Part Number Radiation Level RDS(on) I D QPL Part Number IRHNA57264SE

More information

Preliminary. Standard Products RadHard-by-Design RHD5928 Analog Multiplexer 8-Channel August 31, 2011 FEATURES

Preliminary. Standard Products RadHard-by-Design RHD5928 Analog Multiplexer 8-Channel  August 31, 2011 FEATURES Standard Products RadHard-by-Design RHD5928 Analog Multiplexer 8-Channel www.aeroflex.com/rhdseries August 31, 2011 Preliminary FEATURES Single power supply operation at 3.3V to 5V Radiation performance

More information

VGS = 4.5V, TC = 25 C Continuous Drain Current 2.6 A

VGS = 4.5V, TC = 25 C Continuous Drain Current 2.6 A PD-9726A RADIATION HARDENED LOGIC LEVEL POWER MOSFET SURFACE MOUNT (LCC-28) Product Summary Part Number Radiation Level RDS(on) ID IRHLQ7724 K Rads (Si).Ω 2.6A IRHLQ7324 3K Rads (Si).Ω 2.6A International

More information

AMF28XXXXS SERIES. 28V Input, Single Output HYBRID-HIGH RELIABILITY RADIATION TOLERANT DC-DC CONVERTER PD-94689E AMF

AMF28XXXXS SERIES. 28V Input, Single Output HYBRID-HIGH RELIABILITY RADIATION TOLERANT DC-DC CONVERTER PD-94689E AMF PD-9689E AMF8XXXXS SERIES HYBRID-HIGH RELIABILITY RADIATION TOLERANT DC-DC CONVERTER 8V Input, Single Output Description The AMF8XXXXS Series of DC-DC converter modules has been specifically designed for

More information

IRHY57234CMSE JANSR2N7556T3 R 5 250V, N-CHANNEL REF: MIL-PRF-19500/705 TECHNOLOGY RADIATION HARDENED POWER MOSFET THRU-HOLE(TO-257AA) PD-93823D

IRHY57234CMSE JANSR2N7556T3 R 5 250V, N-CHANNEL REF: MIL-PRF-19500/705 TECHNOLOGY RADIATION HARDENED POWER MOSFET THRU-HOLE(TO-257AA) PD-93823D PD-93823D RADIATION HARDENED POWER MOSFET THRU-HOLE(TO-257AA) 250V, N-CHANNEL REF: MIL-PRF-19500/705 TECHNOLOGY R 5 Product Summary Part Number Radiation Level RDS(on) I D QPL Part Number 100 krads(si)

More information

TDI-CMOS Image Sensor for Earth Observation

TDI-CMOS Image Sensor for Earth Observation TDI-CMOS Image Sensor for Earth Observation Jérôme Pratlong *a, Paul Jerram a, Georgios Tsiolis a, Vincent Arkesteijn b ; Paul Donegan c ; Laurens Korthout d a Teledyne-e2v, Waterhouse Lane, Chelmsford,

More information

54AC Rad-hard 16-bit transceiver 3.3 V to 5 V bidirectional level shifter. Datasheet. Features. Description

54AC Rad-hard 16-bit transceiver 3.3 V to 5 V bidirectional level shifter. Datasheet. Features. Description Datasheet Rad-hard 16-bit transceiver to bidirectional level shifter Features Fully compatible with the 54ACS164245 Dual supply bidirectional level shifter Extended voltage range from 2.3 V to 5. Separated

More information

UT54LVDS031 Quad Driver Data Sheet September,

UT54LVDS031 Quad Driver Data Sheet September, Standard Products UT54LVDS031 Quad Driver Data Sheet September, 2012 www.aeroflex.com/lvds FEATURES >155.5 Mbps (77.7 MHz) switching rates +340mV nominal differential signaling 5 V power supply TTL compatible

More information

High SEE Tolerance in a Radiation Hardened CMOS Image Sensor Designed for the Meteosat Third Generation FCI-VisDA Instrument

High SEE Tolerance in a Radiation Hardened CMOS Image Sensor Designed for the Meteosat Third Generation FCI-VisDA Instrument CMOS Image Sensors for High Performance Applications 18 th and 19 th Nov 2015 High SEE Tolerance in a Radiation Hardened CMOS Image Sensor Designed for the Meteosat Third Generation FCI-VisDA Instrument

More information

Reference SMD pin Quality level Temp range Package. RHF484K-01V 5962F08222 Flight model -

Reference SMD pin Quality level Temp range Package. RHF484K-01V 5962F08222 Flight model - Rad-hard precision quad operational amplifier Features High radiation immunity: 3 krad TID at high dose rate ELDRS-free up to 1 krad 3 krad low dose rate on-going SEL immune at LET = 12 Me.cm²/mg at 125

More information

Southern Methodist University Dallas, TX, Southern Methodist University Dallas, TX, 75275

Southern Methodist University Dallas, TX, Southern Methodist University Dallas, TX, 75275 Single Event Effects in a 0.25 µm Silicon-On-Sapphire CMOS Technology Wickham Chen 1, Tiankuan Liu 2, Ping Gui 1, Annie C. Xiang 2, Cheng-AnYang 2, Junheng Zhang 1, Peiqing Zhu 1, Jingbo Ye 2, and Ryszard

More information

10 Gb/s Radiation-Hard VCSEL Array Driver

10 Gb/s Radiation-Hard VCSEL Array Driver 10 Gb/s Radiation-Hard VCSEL Array Driver K.K. Gan 1, H.P. Kagan, R.D. Kass, J.R. Moore, D.S. Smith Department of Physics The Ohio State University Columbus, OH 43210, USA E-mail: gan@mps.ohio-state.edu

More information

NGP-N ASIC. Microelectronics Presentation Days March 2010

NGP-N ASIC. Microelectronics Presentation Days March 2010 NGP-N ASIC Microelectronics Presentation Days 2010 ESA contract: Next Generation Processor - Phase 2 (18428/06/N1/US) - Started: Dec 2006 ESA Technical officer: Simon Weinberg Mark Childerhouse Processor

More information

IRHLMS RADIATION HARDENED LOGIC LEVEL POWER MOSFET THRU-HOLE (Low-Ohmic TO-254AA) 60V, P-CHANNEL TECHNOLOGY PD-95860

IRHLMS RADIATION HARDENED LOGIC LEVEL POWER MOSFET THRU-HOLE (Low-Ohmic TO-254AA) 60V, P-CHANNEL TECHNOLOGY PD-95860 PD-9586 IRHLMS79764 RADIATION HARDENED LOGIC LEVEL POWER MOSFET THRU-HOLE (Low-Ohmic TO-254AA) 6V, P-CHANNEL TECHNOLOGY Product Summary Part Number Radiation Level RDS(on) I D IRHLMS79764 krads(si).8-45a*

More information