MULTIFUNCTION and high-performance LSI systems

Size: px
Start display at page:

Download "MULTIFUNCTION and high-performance LSI systems"

Transcription

1 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 4, APRIL Analysis and Design of Inductive Coupling and Transceiver Circuit for Inductive Inter-Chip Wireless Superconnect Noriyuki Miura, Daisuke Mizoguchi, Takayasu Sakurai, Fellow, IEEE, and Tadahiro Kuroda, Senior Member, IEEE Abstract A wireless bus for stacked chips was developed by utilizing inductive coupling among them. This paper discusses inductor layout optimization and transceiver circuit design. The inductive coupling is analyzed by a simple equivalent circuit model, parameters of which are extracted by a magnetic field model based on the Biot Savart law. Given communication distance, transmit power, data rate, and SNR budget, inductor layout size is minimized. Two receiver circuits, signal sensitive and yet noise immune, are designed for inductive nonreturn-to-zero (NRZ) signaling where no signal is transmitted when data remains the same. A test chip was fabricated in m CMOS technology. Accuracy of the models is verified. Bit-error rate is investigated for various inductor layouts and communication distance. The maximum data rate is 1.25 Gb/s/channel. Power dissipation is 43 mw in the transmitter and 2.6 mw in the receiver at 3.3 V. If chip thickness is reduced to 30 m in 90-nm device generation, power dissipation will be 1 mw/channel or bandwidth will be 1 Tb s mm 2. Index Terms High bandwidth, inductor, low power, SiP, wireless bus. I. INTRODUCTION MULTIFUNCTION and high-performance LSI systems are in increasingly strong demand in recent years. Typical applications are an application processor for three-dimensional (3-D) video games, an imaging processor for high-end digital cameras, a graphics card for personal computers, and so on. The important key to improve the performance of these LSI systems is high-bandwidth communication between functions, such as CPU and memory. Conventional system-on-a-board (SoB) implementation with a high-speed serial link techniques [1], [2] has difficulty to develop high-bandwidth interface due to its long inter-chip distance, which degrades data rate and channel density, or it requires higher power dissipation and area for circuits. On-chip network [3], [4] in system-on-a-chip (SoC) technology is one of the solutions to meet the demand. However, cost increase due to the complex embedded process is the problem of SoC. System in a package (SiP) can solve the problem and 3-D stacking structure reduces chip distance substantially ( 100 m), providing motivation to develop a low-cost low-power high-bandwidth interface. From this point of view, several interface technologies are reported [5] [11]. Manuscript received August 30, 2004; revised January 24, N. Miura, D. Mizoguchi, and T. Kuroda are with the Department of Electronics and Electrical Engineering, Keio University, Yokohama , Japan. T. Sakurai is with the Center for Collaborative Research, University of Tokyo, Tokyo , Japan. Digital Object Identifier /JSSC In wired mechanical approaches, through-silicon via [5] or micro bump [6] technologies, issues are cost increase caused by additions in process complexity and yield degradation due to difficulty in screening a known good die (KGD). On the other hand, wireless approaches, wireless superconnect (WSC) by capacitive coupling (WSC-C) [8], [9] or inductive coupling (WSC-IIS) [10], [11] have many advantages over wired in terms of power, speed, and cost. The interface, a metal plate for capacitive coupling or a metal inductor for inductive coupling, can be implemented in a standard CMOS process without an additional mechanical process which allows significant cost reduction of fabrication and high-density channel arrangement by exploiting the process scaling. In addition, the noncontact interface removes a highly capacitive electrostatic discharge (ESD) protection device to reduce power, delay, and area. The absence of ESD protection and a high-pass filtering property of ac coupling solves the KGD problem [12], enabling test at the high operating frequency before assembly. However, capacitive coupling has a limitation. Since it is a voltage-driven scheme, it cannot provide large transmit power enough to communicate over long distance at low supply voltages in scaled devices. As a result, the capacitive coupled interface can be employed only in a case where two chips are stacked face-to-face in distance shorter than several microns. It cannot be used when a lower chip is mounted face down in an area bump package. Additionally, in an application where an upper chip has imaging sensors, the chip has to be stacked face-up, which also limits employing capacitive coupling. To overcome this limitation, WSC-IIS [10], [11] is developed for longer inter-chip communication. Fig. 1 illustrates the scheme. Chips are stacked face-up and inductively coupled by metal inductors to form a multidrop bus. Since power, ground, and clock can be provided by bonding wires in a face-up stacked structure, no complex mechanical process (through-silicon via or micro bump) is required, while it is required in a face-to-face structure. A transmitter and a receiver each have an inductor and the transmitter inductor is allocated in the receiver inductor for high layout density. Fig. 2 shows the metal inductor layout that reduces parasitic capacitance between metal layers [13]. Since inductive coupling is a current-driven scheme, transmit power can be increased for longer distance communication even at low supply voltages in scaled devices. In addition, transmission gain can be increased by increasing number of turns of the inductors by exploiting an increasing a level of the metal layers. Data rate of 1.2 Gb/s/pin in 300 m distance is reported in [10]. Power dissipation is 43 mw in a transmitter and 2.5 mw in a receiver /$ IEEE

2 830 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 4, APRIL 2005 Fig. 1. Wireless superconnect (WSC) with inductive inter-chip signaling (IIS). Fig. 3. Inductive nonreturn-to-zero (NRZ) signaling. presented in Section VI. Finally, conclusions will be presented in Section VII. Fig. 2. Metal inductor layout. An ideal scaling scenario may be found if a chip thickness is scaled down. It is reported in [14] that substrate thickness is reduced 1.7 m without affecting transistor characteristics. Given communication distance, transmit power, data rate, and signal-to-noise ratio (SNR) budget, the metal inductor should be minimized for area reduction by optimizing layout parameters (diameter, width, space, and number of turns ). Smaller inductor layout yields higher bandwidth when the inductors are placed in an array. However, there is no theory for design optimization, since both electrical circuit and magnetic field are involved in designing the inductive coupling. In this paper, simple and yet accurate models for circuit and magnetic field design are discussed to derive a theory for optimizing inductor layout. Transceiver circuit design for the inductive nonreturn-to-zero (NRZ) signaling is described. The analysis and the design is verified and evaluated by measuring a test chip that was fabricated in m CMOS technology. The rest of this paper is organized as follows. In Section II, the inductive NRZ signaling will be proposed. Section III will discuss an analysis of inductive coupling with an equivalent circuit and a magnetic field model, and these models will be verified. Section IV describes transceiver circuit design for the NRZ signaling. In Section V, the design and the analysis including the transceiver circuit are verified and evaluated by the measurement of inter-chip communications. The performance summary and scaling scenario of the proposed interface will be II. INDUCTIVE NRZ SIGNALING In a general wireless data communication, a carrier modulation technique is often utilized to improve SNR while it requires sophisticated RF/analog circuits (mixer, frequency synthesizer, passive filter, etc.) which increase power dissipation and area. On the other hand, since a transceiver of WSC-IIS communicates in close proximity, much higher SNR can be obtained without the carrier modulation. Therefore, we can utilize a kind of pulse modulation which eliminates circuit complexity significantly. Fig. 3 illustrates our proposed inductive NRZ signaling. A transmitter converts transition of baseband binary data Txdata to a bipolar pulse current. A receiver senses the polarity of through inductive coupling with a sampling clock Rxclk and recovers baseband data Rxdata. In the inductive NRZ signaling, a received signal is not generated when Txdata is held. To prevent metastable state, the sensitivity of the receiver should be set within an appropriate range so that it can detect signal in when Txdata transits, while it can ignore noise in when Txdata remains the same. Two receiver circuits are proposed to solve this problem in Section IV. III. ANALYSIS OF INDUCTIVE COUPLING A. Equivalent Circuit Fig. 4(a) depicts a proposed equivalent circuit of the inductive coupling. Since the receiver is designed to have high input impedance for received-voltage sensing, current through a receiver inductor is small enough to ignore self-induced voltage at the receiver inductor and induced voltage feedback to a transmitter from a receiver inductor. In addition, a coupling capacitor generated between inductors can be abbreviated because of long communication distance. From Fig. 4(a), transfer function is given by (1)

3 MIURA et al.: INDUCTIVE COUPLING AND TRANSCEIVER CIRCUIT FOR INDUCTIVE INTER-CHIP WIRELESS SUPERCONNECT 831 Fig. 4. Electrical model of inductive coupling: (a) equivalent circuit; (b) frequency characteristics (L = L =5nH, C =500fF, C =50fF, R = R = 100, k =0:2, R =50). Its frequency characteristic is depicted in Fig. 4(b). The transmitter inductor is modeled as a parallel resonator whose self-resonant frequency is given by, and by including transmitter s output impedance, it behaves as a second-order low-pass filter. The inductive coupling functions as a differential operator, or a high-pass filter, whose gain is determined by. The receiver inductor is characterized as a low-pass filter whose cut-off frequency is determined by. In total, the inductive coupling behaves as a bandpass filter. Power/ground noise may be effectively cut off due to the filter characteristics. In inductive NRZ signaling, the input signal to the inductive coupling is approximated by Gaussian pulse which is given by Fig. 5. Characteristics of signals in inductive NRZ signaling in (a) time domain and (b) frequency domain. (2) where is peak voltage, is time offset, and is pulse width determined by data rate. Then, the frequency spectrum of is given by Essentially, differential operation of inductive coupling is inevitable, therefore, becomes the actual frequency spectrum to be analyzed. Fig. 5 describes the frequency spectrum of. The peak frequency (fundamental) is given by. Bandwidth of at least is required to damp the received signal and diminish inter-symbol interference (ISI). Fig. 6 shows that gain of the inductive coupling in high-frequency decreases as increases. Therefore, a metal inductor should not be shared by the transmitter and the receiver, since the transmitter exhibits large output capacitance for small. Otherwise, fundamental as well as harmonics are attenuated and ISI is increased as shown in Fig. 6. B. Magnetic Field Modeling In order to extract the electrical parameters in (1), a theoretical model for analyzing magnetic field is developed, namely the current density fiber model. As depicted in Fig. 7, magnetic flux density is calculated by integrating contributions from all the (3) Fig. 6. Received voltage when metal inductor is (a) shared and (b) not shared. current density fibers that are calculated by the Biot Savart law. Self-inductances,, and mutual inductance are calculated by integrating penetrating though each inductor, and a coupling coefficient is given by. Since magnetic flux density generated by a square inductor is given by a superposition of magnetic flux density generated by four metal lines,, can be easily obtained by calculation of only one metal line. In addition, when metal inductors are aligned concentrically, can be also obtained by the same calculation because of the symmetry. This model is available to calculate when inductors are not aligned concentrically, which is equivalent to analyzing crosstalk between channels and it is demonstrated and evaluated by measurements in [15]. C. Experimental Result Accuracy of the models is examined by measuring metal inductors by the second and third metal layers and inductive coupling between the first and third metal layer in a test chip. Table I summarizes measured and calculated self-inductance of twolayer on-chip metal inductors. Calculation based on the current density fiber model has good agreement with the measurement since the error between them is about 5% in several inductor

4 832 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 4, APRIL 2005 (5) (6) (7) (8) (9) (10) Fig. 7. Current density fiber model for magnetic field modeling. TABLE I MEASURED AND CALCULATED SELF-INDUCTANCE OF METAL INDUCTORS Fig. 8. Measured and calculated S21 parameter of inductive coupling. layout parameters. The parameter of on-chip inductive coupling has been measured by a network analyzer and calculated by the proposed equivalent circuit model in Fig. 4(a) with replacing the current-controlled voltage source to a receiver inductance for 50- -terminated measurement. Fig. 8 shows the results where good agreement between measurement and calculation are also found. D. Optimization of Inductor Layout Relations between electrical parameters (,,, ) and layout parameters (,, ) are approximately given by (4) where is communication distance between a transmitter and a receiver. In a face-to-back stacked structure, is almost determined by chip thickness. Parameters for two-layer metal inductors in m CMOS process are used; wire space m, nh mm, pf mm,, and output capacitance of transmitter ff. is one of the layout parameters, but it should be the minimum value available in a fabrication process to provide large opening area of an inductor, because the opening area determines a coupling coefficient between inductors, which affects gain of inductive coupling much more than parasitic capacitance between metal lines. From (1), (4) (10), received signal power is calculated. Layout parameters for an optimized inductor are calculated and presented in Fig. 9 when is minimized under given conditions of communication distance, data rate of 1.25 Gb/s (pulse width ps), transmit power of 40 mw ( ), and SNR budget of 20 db. The noise power assumed in this theory is thermal noise which is integrated and estimated as the same power of 20-mV-peak received signal. Therefore, db denotes that received signal has 200-mV-peak voltage. The transfer function is maximized within the operating frequency range ( GHz). The transmitter and receiver inductors can be placed inside concentrically for high layout density. Because of the large output capacitance of the transmitter, the transmitter inductor is designed to have smaller self-inductance and series resistance to increase bandwidth, and as a result, metal wires should be wide. Therefore, the transmitter inductor should be placed inside of the receiver inductor concentrically. Otherwise, the opening area of the receiver inductor becomes small, which degrades coupling significantly. As shown in Fig. 9, inductor layout will linearly scale down as chip thickness scales. Increasing metal layers of the metal inductor contributes to increasing the gain of inductive coupling, which enables reducing the transmit power or area. IV. TRANSCEIVER CIRCUIT DESIGN A. NRZ Signaling Waveforms of transmitted current and received voltage in the inductive NRZ signaling are illustrated in Fig. 3. A transmitter is a kind of pulse generator providing bipolar pulse current based on transition of Txdata. A receiver samples voltage induced by through the inductive coupling and recovers data Rxdata. However, since is not generated when Txdata continues, the sensitivity of the receiver should be set within appropriate ranges so that it can detect signals in

5 MIURA et al.: INDUCTIVE COUPLING AND TRANSCEIVER CIRCUIT FOR INDUCTIVE INTER-CHIP WIRELESS SUPERCONNECT 833 Fig. 12. Sensing-time (T ) control in (a) L =0:4 m, (b) L =1:2 m. Fig. 9. Optimal inductor layout parameters derived from theoretical models ( =300ps, R =50, SNR = 20 db). Fig. 10. Transmitter. Fig. 13. Majority vote receiver. Fig. 11. Sensing-time control receiver. when Tx- when Txdata transits, while it can ignore noise in data remains the same. B. Transmitter A proposed transmitter circuit is depicted in Fig. 10. A simple H-bridge circuit with a delay buffer is utilized to provide bipolar pulse current. flows in the transmitter inductor at the transition of Txdata for the period of delay time of a delay buffer. The delay buffer can be implemented with an odd-stage inverter chain. When the transceiver is not transmitting data, the loop of the transmitter inductor is opened by Tx/. Otherwise, the induced current in the transmitter inductor counteracts the change of magnetic field and reduces the received by in a stacked bus structure as shown in Fig. 1. C. Receiver A sense-amplifier flip-flop circuit, shown in Fig. 11, is adopted as a receiver to detect small induced voltages. is designed to have high resistance ( k ) for voltage sensing. Due to this high resistance, current flow in a receiver inductor becomes less than 1 ma so the receiver inductor does not affect coupling in a stacked bus structure. Two circuit techniques are proposed to solve the above-mentioned problem of metastable state in the inductive NRZ signaling. One is a sensing-time-control receiver, shown in Fig. 11. Sensing time ( ) distinguishes between signal and noise. As shown in Fig. 12, the receiver operates erroneously with too long when the same data continues, while with too short, the signal may not be able to be received correctly. Time margin in under process variations can be increased by enlarging channel length of the differential pair transistors, but at the cost of speed degradation. is controlled by a duty controller. The other circuit is called a majority vote receiver, shown in Fig. 13. Two sense amplifiers are employed; one is likely to output high and the other low. Rxdata is determined by majority vote as shown in the table in Fig. 11. The offset is designed by employing different channel length to differential pair transistors in the sense amplifiers. As shown in the SPICE simulation in Fig. 14, noise margin of mv is secured with m and 0.65 m for the differential pairs. The above-mentioned two receiver circuits with the transmitter are simulated by using SPICE. Noise is modeled as white Gaussian noise and given by voltage sources connected at receiver s input resistance. The transceiver is simulated with transmitting and receiving pseudorandom binary sequence (PRBS) data. The shmoo plots in Fig. 15 are derived

6 834 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 4, APRIL 2005 Fig. 14. Asymmetric differential pair in majority vote receiver. Fig. 16. Calculated BER dependence on communication distance. TABLE II TRANSCEIVERS WITH METAL INDUCTOR AND LAYOUT PARAMETERS Fig. 15. receiver. Shmoo plots: (a) sensing-time-control receiver; (b) majority vote by sweeping and the delay of receiver s clock. The majority vote receiver operates faster than the sensing-time control receiver by 6% as shown in Fig. 15. V. INTER-CHIP COMMUNICATIONS The dependence of SNR on communication distance is calculated by the theoretical models and depicted in Fig. 16. As the diameter of the receiver inductor increases and the communication distance decreases, SNR is improved. When SNR is increased, the sense amplifier in the receiver recovers data faster, so the PASS area in Fig. 15 is shifted below. As a result, the timing margin ( margin) of the sensing-time-control receiver at ps is increased up to 200 ps when db. By using Gaussian distribution of the clock and data jitter in measurement system, the bit-error rate (BER) can be calculated by the timing margin. A test chip was fabricated in m CMOS technology. Table II summarizes microphotographs of our implemented prototype transceivers with metal inductors. The layout parameters are the same as that utilized in the calculation in Fig. 16. The transmitter inductor has three turns and the receiver inductors with 100, 200, and 300 m diameter have four, five, and six turns, respectively. Fig. 17 shows a microphotograph of an experimental setup for evaluating inter-chip communication. We measured the test chip in a laboratory room without any special thermal/air control and electromagnetic shielding. Chips were mounted face-up on each printed-circuit board. Clock, power, and some digital control signals were provided through bonding wires. Transmitting data was generated by an on-chip linear feedback shift register (LFSR). Clock timing and duty ratio were changed by 70-ps steps by digital control. The upper board and the lower board with the chip were placed face-to-face. Communication distance was changed by moving the upper chip up and down by a micromanipulator in a fine pitch ( m). In this experimental setup, the chips communicate in face-to-face, not face-to-back, mounting, described in Fig. 1. The effect of the silicon wafer in the propagation was not considered. However, based on a simulation study by a 3-D electromagnetic field solver reported in [10], the difference can be negligible because the permeability of materials used in CMOS process (Si, SiO ) are the same as air. Reflection and absorption of the materials can be ignored. In addition, the signal attenuation caused by eddy current in a substrate is around 5% in the simulation results. The measured maximum communication distance is shown in Fig. 18. The measured results have good agreement with the calculated results in Fig. 16. A curve of denotes db. Since the receiver s sensitivity is set to ignore noise, the receiver cannot receive any data when the signal level is attenuated to smaller than the noise level ( ). As a result, no data transition is monitored in the received data. By increasing SNR to 26 db and the timing margin to 200 ps, BER of less than is achieved when communication distance is reduced to less than 60, 120, 150 m when m, respectively. The maximum data rate is 1.25 Gb/s/ch. Power dissipation is 43 mw in the transmitter

7 MIURA et al.: INDUCTIVE COUPLING AND TRANSCEIVER CIRCUIT FOR INDUCTIVE INTER-CHIP WIRELESS SUPERCONNECT 835 Fig. 17. Microphotograph of experimental setup of inter-chip communications. TABLE III PERFORMANCE SUMMARY AND COMPARISON Fig. 18. Measured maximum communication distance. and 2.6 mw in the receiver at 3.3 V. The power dissipation in the transmitter is linearly decreased by decreasing the clock rate and the switching activity due to the NRZ signaling. Since the receiver is sensitive to process variation, several chipsets have been tested. The effect of process variation cannot be found in the measurement because the receiver is designed in a longer channel length to overcome the variation. VI. PERFORMANCE SUMMARY AND SCALING SCENARIO Table III summarizes the performance of the proposed scheme and compares it with wired approaches developed in m CMOS [1], [2]. Data rate of 1.25 Gb/s with power dissipation of 46 mw was achieved. The minimum area for the interface is mm at communication distance of 60 m. Compared to [1] and [2], the power dissipation is reduced by 25% and the area is reduced by a factor of 5 and 32, respectively. A scaling scenario of the proposed scheme can be derived by scaling the parameters used in the theoretical analysis. In 90-nm device generation, the number of metal layers is increased to seven, and supply voltages are scaled to 1 V. By utilizing a 90-nm BSIM model in SPICE simulation, data rate and power dissipation for inter-chip communications of three stacked chips were calculated, and are summarized in Table IV. Scaling in chip thickness as well as device size is effective in decreasing power dissipation and increasing bandwidth. When six metal layers are used for the metal inductor, the gain of inductive coupling can be increased by three times and, due to the voltage scaling by a factor of 3.3, 10 power reduction is obtained in total. In addition, if chip thickness is reduced to 30 m further 4 power reduction is obtained, as a result, power dissipation will be reduced to 1.1 mw/channel or bandwidth will be increased to 1 Tb s mm with power dissipation of 4.2 W by arranging 200 transceivers in 1 mm.

8 836 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 4, APRIL 2005 TABLE IV SCALING SCENARIO VII. CONCLUSION Inductive coupling for inter-chip communications has been investigated. Theoretical models for circuit design and magnetic field analysis were proposed, and their accuracy has been verified by measurement. A theory to minimize inductor layout size has been derived. The loop of a transmitter inductor was opened in the receiving signal to keep the signal from being attenuated by induced current in the transmitter inductor. Two receiver circuits were investigated to distinguish between signal and noise in the inductive NRZ signaling. The analysis and design has been verified and evaluated by measuring a test chip in m CMOS. The maximum data rate was 1.25 Gb/s/channel. Power dissipation was 43 mw in the transmitter and 2.6 mw in the receiver at 3.3 V. ACKNOWLEDGMENT The VLSI chip in this study was fabricated in the chip fabrication program of the VLSI Design and Education Center (VDEC), University of Tokyo, with collaboration by Rohm Corporation and Toppan Printing Corporation. [9] R. J. Drost, R. P. Hopkins, and I. E. Sutherland, Proximity communication, in Proc. IEEE Custom Integrated Circuits Conf. (CICC), Sep. 2003, pp [10] D. Mizoguchi, Y. B. Yusof, N. Miura, T. Sakurai, and T. Kuroda, A 1.2 Gb/s/pin wireless superconnect based on inductive inter-chip signaling (IIS), in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2004, pp [11] N. Miura, D. Mizoguchi, Y. B. Yusof, T. Sakurai, and T. Kuroda, Analysis and design of transceiver circuit and inductor layout for inductive inter-chip wireless superconnect, in Symp. VLSI Circuits Dig. Tech. Papers, Jun. 2004, pp [12] D. Salzman and T. Knight, Capacitive coupling solves the known good die problem, in Proc. IEEE MultiChip Module Conf., Mar. 1994, pp [13] C. C. Tang, C. H. Wu, and S. I. Lin, Miniature 3-D inductors in standard CMOS process, IEEE J. Solid-State Circuits, vol. 37, no. 4, pp , Apr [14] T. Ohguro, N. Sato, M. Matsuo, K. Kojima, H. S. Momose, K. Ishimaru, and H. Ishiuchi, Ultra-thin chip with permalloy film for high performance MS/RF CMOS, in Symp. VLSI Technology Dig. Tech. Papers, Jun. 2004, pp [15] N. Miura, D. Mizoguchi, T. Sakurai, and T. Kuroda, Cross talk countermeasures in inductive inter-chip wireless superconnect, in Proc. IEEE Custom Integrated Circuits Conf. (CICC), Oct. 2004, pp REFERENCES [1] G. W. Bosten, Embedded low-cost 1.2 Gb/s inter-ic serial data link in 0.35 mm CMOS, in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2000, pp [2] E. Yeung and M. A. Horowitz, A 2.4 Gb/s/pin simultaneous bidirectional parallel link with per-pin skew compensation, IEEE J. Solid-State Circuits, vol. 35, no. 11, pp , Nov [3] S.-J. Lee, S.-J. Song, K. Lee, J.-H. Woo, S.-E. Kim, B.-G. Nam, and H.-J. Yoo, An 800 MHz star-connected on-chip network for application to systems on a chip, in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2003, pp [4] K. Lee, S.-J. Lee, S.-E. Kim, H.-M. Choi, D. Kim, S. Kim, M.-W. Lee, and H.-J. Yoo, A 51 mw 1.6 GHz on-chip network for low-power heterogeneous SoC platform, in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2004, pp [5] J. Burns, L. McIlrath, C. Keast, D. P. Vu, K. Warner, and P. Wyatt, Three-dimensional integrated circuits for low-power, high-bandwidth systems on a chip, in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2001, pp [6] T. Ezaki, K. Kondo, H. Ozaki, N. Sasaki, H. Yonemura, M. Kitano, S. Tanaka, and T. Hirayama, A 160 Gb/s interface design configuration for multichip LSI, in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2004, pp [7] S. Mick, J. Wilson, and P. Franzon, 4 Gbps high-density AC coupled interconnection, in Proc. IEEE Custom Integrated Circuits Conf. (CICC), May 2002, pp [8] K. Kanda, D. D. Antono, K. Ishida, H. Kawaguchi, T. Kuroda, and T. Sakurai, 1.27 Gb/s/ch 3 mw/pin wireless superconnect (WSC) interface scheme, in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2003, pp Noriyuki Miura was born in Oita, Japan, on October 29, He received the B.S. degree in electrical engineering in 2003 from Keio University, Yokohama, Japan, where he is currently working toward the M.S. degree. Since 2002, he has been engaged in research on a 3-D-stacked inductive inter-chip wireless interface for System in a Package. In 2002, he was with the Hitachi Central Research Laboratory studying CAD tools for low-power VLSI circuits. He has made technical presentations and published technical papers at ISSCC, the Symposium on VLSI Circuits, CICC, and ASP-DAC. Daisuke Mizoguchi was born in Oita, Japan, on September 7, He received the B.E. and M.S. degrees in information science and electrical engineering from Kyushu University, Fukuoka, Japan, in 1998 and He is currently working toward the Ph.D. degree in electrical engineering at Keio University, Kanagawa, Japan. In 2000, he joined A Priori Microsystems, Inc., Kanagawa, Japan. He has been engaged in development and design of high-performance computer using FPGA boards. In 2001, he joined Keio University, Yokohama, Japan. He has been engaged in research on the inductive inter-chip communication scheme. Since 2003, he has been working on developing 3-D-FFT logic for Car Parrinello calculation.

9 MIURA et al.: INDUCTIVE COUPLING AND TRANSCEIVER CIRCUIT FOR INDUCTIVE INTER-CHIP WIRELESS SUPERCONNECT 837 Takayasu Sakurai (S 77 M 78 SM 01 F 03) received the Ph.D. degree in electrical engineering from the University of Tokyo, Tokyo, Japan, in In 1981, he joined Toshiba Corporation, where he designed CMOS DRAM, SRAM, RISC processors, DSPs, and SoC solutions. He has worked extensively on interconnect delay and capacitance modeling known as the Sakurai model and alpha power-law MOS model. From 1988 to 1990, he was a Visiting Researcher at the University of California at Berkeley, where he conducted research in the field of VLSI CAD. Since 1996, he has been a Professor at the University of Tokyo, working on low-power high-speed VLSI, memory design, interconnects, ubiquitous electronics, organic ICs, and large-area electronics. He has published more than 350 technical publications including 70 invited papers and several books and filed more than 100 patents. Dr. Sakurai served as a conference chair for the Symposium on VLSI Circuits and ICICDT, a TPC chair for A-SSCC, a vice chair for ASPDAC and a program committee member for ISSCC, CICC, DAC, ICCAD, FPGA Workshop, ISLPED, TAU, and other international conferences. He is a plenary speaker for the 2003 ISSCC. He is an elected AdCom member for the IEEE Solid-State Circuits Society and an IEEE Circuits and Systems Society Distinguished Lecturer. Tadahiro Kuroda (M 88 SM 00) received the Ph.D. degree in electrical engineering from the University of Tokyo, Tokyo, Japan, in In 1982, he joined Toshiba Corporation, where he designed CMOS gate arrays and standard cells. From 1988 to 1990, he was a Visiting Scholar with the University of California at Berkeley, conducting research in the field of VLSI CAD. In 1990, he returned to Toshiba, and was engaged in the research and development of BiCMOS ASICs, ECL gate arrays, highspeed CMOS LSIs for telecommunications, and lowpower CMOS LSIs for multimedia and mobile applications. In 2000, he moved to Keio University, Yokohama, Japan, where he has been a Professor since His research interests include low-power high-speed CMOS design for wireless and wireline communications, human computer interactions, and ubiquitous electronics. He has published more than 180 technical publications including 40 invited papers and 17 books or book chapters, and has filed more than 100 patents. Dr. Kuroda served as a technical program committee chair for the Symposium on VLSI Circuits, a vice chair for ASP-DAC, held sub-committee chairs for ICCAD, A-SSCC, and SSDM, and was a program committee member for the Symposium on VLSI Circuits, CICC, DAC, ASP-DAC, ISLPED, SSDM, ISQED, and other international conferences. He is a member of the Institute of Electronics, Information and Communication Engineers of Japan.

A 0.95mW/1.0Gbps Spiral-Inductor Based Wireless Chip-Interconnect with Asynchronous Communication Scheme

A 0.95mW/1.0Gbps Spiral-Inductor Based Wireless Chip-Interconnect with Asynchronous Communication Scheme A 0.95mW/1.0Gbps Spiral-Inductor Based Wireless Chip-Interconnect with Asynchronous Communication Scheme Mamoru Sasaki and Atsushi Iwata Graduate School, Hiroshima University Kagamiyama 1-4-1, Higashihiroshima-shi,

More information

ULTRA-WIDEBAND (UWB) is defined as a signal that occupies

ULTRA-WIDEBAND (UWB) is defined as a signal that occupies IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 4, APRIL 2006 891 A CMOS Ultra-Wideband Impulse Radio Transceiver for 1-Mb/s Data Communications and 2.5-cm Range Finding Takahide Terada, Shingo Yoshizumi,

More information

A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier

A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier 852 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 7, JULY 2002 A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier Ryuichi Fujimoto, Member, IEEE, Kenji Kojima, and Shoji Otaka Abstract A 7-GHz low-noise amplifier

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

A Capacitive Coupling Interface with High Sensitivity for Wireless Wafer Testing

A Capacitive Coupling Interface with High Sensitivity for Wireless Wafer Testing A Capacitive Coupling Interface with High Sensitivity for Wireless Wafer Testing Gil-Su Kim, Makoto Takamiya, and Takayasu Sakurai The Institute of Industrial Science The University of Tokyo Tokyo, Japan

More information

NEW WIRELESS applications are emerging where

NEW WIRELESS applications are emerging where IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 709 A Multiply-by-3 Coupled-Ring Oscillator for Low-Power Frequency Synthesis Shwetabh Verma, Member, IEEE, Junfeng Xu, and Thomas H. Lee,

More information

WITH the rapid proliferation of numerous multimedia

WITH the rapid proliferation of numerous multimedia 548 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 2, FEBRUARY 2005 CMOS Wideband Amplifiers Using Multiple Inductive-Series Peaking Technique Chia-Hsin Wu, Student Member, IEEE, Chih-Hun Lee, Wei-Sheng

More information

CURRENTLY, near/sub-threshold circuits have been

CURRENTLY, near/sub-threshold circuits have been 536 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 49, NO. 2, FEBRUARY 2014 Intermittent Resonant Clocking Enabling Power Reduction at Any Clock Frequency for Near/Sub-Threshold Logic Circuits Hiroshi Fuketa,

More information

Capacitive Inter-Chip Data and Power Transfer for 3-D VLSI

Capacitive Inter-Chip Data and Power Transfer for 3-D VLSI 1348 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 12, DECEMBER 2006 Capacitive Inter-Chip Data and Power Transfer for 3-D VLSI Eugenio Culurciello, Member, IEEE, and Andreas

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

THE power/ground line noise due to the parasitic inductance

THE power/ground line noise due to the parasitic inductance 260 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 2, FEBRUARY 1998 Noise Suppression Scheme for Gigabit-Scale and Gigabyte/s Data-Rate LSI s Daisaburo Takashima, Yukihito Oowaki, Shigeyoshi Watanabe,

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Simulation and Modeling of Capacitive Coupling Interconnection For 3D Integration

Simulation and Modeling of Capacitive Coupling Interconnection For 3D Integration 2012 4th International Conference on Computer Modeling and Simulation (ICCMS 2012) IPCSIT vol.22 (2012) (2012) IACSIT Press, Singapore Simulation and Modeling of Capacitive Coupling Interconnection For

More information

Ultra-high-speed Interconnect Technology for Processor Communication

Ultra-high-speed Interconnect Technology for Processor Communication Ultra-high-speed Interconnect Technology for Processor Communication Yoshiyasu Doi Samir Parikh Yuki Ogata Yoichi Koyanagi In order to improve the performance of storage systems and servers that make up

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 http://dx.doi.org/10.5573/jsts.2012.12.4.405 An 8-Gb/s Inductorless Adaptive Passive Equalizer in 0.18- µm CMOS Technology

More information

Parallel vs. Serial Inter-plane communication using TSVs

Parallel vs. Serial Inter-plane communication using TSVs Parallel vs. Serial Inter-plane communication using TSVs Somayyeh Rahimian Omam, Yusuf Leblebici and Giovanni De Micheli EPFL Lausanne, Switzerland Abstract 3-D integration is a promising prospect for

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

THE TREND toward implementing systems with low

THE TREND toward implementing systems with low 724 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 7, JULY 1995 Design of a 100-MHz 10-mW 3-V Sample-and-Hold Amplifier in Digital Bipolar Technology Behzad Razavi, Member, IEEE Abstract This paper

More information

SINCE the performance of personal computers (PCs) has

SINCE the performance of personal computers (PCs) has 334 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 5, MAY 2010 Multi-Slot Main Memory System for Post DDR3 Jaejun Lee, Sungho Lee, and Sangwook Nam, Member, IEEE Abstract This

More information

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors Design for MOSIS Educational Program (Research) Transmission-Line-Based, Shared-Media On-Chip Interconnects for Multi-Core Processors Prepared by: Professor Hui Wu, Jianyun Hu, Berkehan Ciftcioglu, Jie

More information

Miniature 3-D Inductors in Standard CMOS Process

Miniature 3-D Inductors in Standard CMOS Process IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 4, APRIL 2002 471 Miniature 3-D Inductors in Standard CMOS Process Chih-Chun Tang, Student Member, Chia-Hsin Wu, Student Member, and Shen-Iuan Liu, Member,

More information

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Thomas H. Lee Stanford University, Stanford, CA At submicron channel lengths, CMOS is

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

A Low Power Single Phase Clock Distribution Multiband Network

A Low Power Single Phase Clock Distribution Multiband Network A Low Power Single Phase Clock Distribution Multiband Network A.Adinarayana Asst.prof Princeton College of Engineering and Technology. Abstract : Frequency synthesizer is one of the important elements

More information

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS Sang-Min Yoo, Jeffrey Walling, Eum Chan Woo, David Allstot University of Washington, Seattle, WA Submission Highlight A fully-integrated

More information

EMI Camera LSI (EMcam) with On-Chip Loop Antenna Matrix to Measure EMI Noise Spectrum and Distribution

EMI Camera LSI (EMcam) with On-Chip Loop Antenna Matrix to Measure EMI Noise Spectrum and Distribution IEICE TRANS. ELECTRON., VOL.E95 C, NO.6 JUNE 2012 1059 PAPER Special Section on Analog Circuits and Related SoC Integration Technologies EMI Camera LSI (EMcam) with On-Chip Loop Antenna Matrix to Measure

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

A Novel Low Power Optimization for On-Chip Interconnection

A Novel Low Power Optimization for On-Chip Interconnection International Journal of Scientific and Research Publications, Volume 3, Issue 3, March 2013 1 A Novel Low Power Optimization for On-Chip Interconnection B.Ganga Devi*, S.Jayasudha** Department of Electronics

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 10.8 10Gb/s Limiting Amplifier and Laser/Modulator Driver in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi Electrical Engineering

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

Subminiature Multi-stage Band-Pass Filter Based on LTCC Technology Research

Subminiature Multi-stage Band-Pass Filter Based on LTCC Technology Research International Journal of Information and Electronics Engineering, Vol. 6, No. 2, March 2016 Subminiature Multi-stage Band-Pass Filter Based on LTCC Technology Research Bowen Li and Yongsheng Dai Abstract

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design Chapter 6 Case Study: 2.4-GHz Direct Conversion Receiver The chapter presents a 0.25-µm CMOS receiver front-end designed for 2.4-GHz direct conversion RF transceiver and demonstrates the necessity and

More information

2366 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 11, NOVEMBER 2010

2366 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 11, NOVEMBER 2010 2366 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 11, NOVEMBER 2010 A Low-Energy Inductive Coupling Transceiver With Cm-Range 50-Mbps Data Communication in Mobile Device Applications Seulki Lee,

More information

Microelectronic sensors for impedance measurements and analysis

Microelectronic sensors for impedance measurements and analysis Microelectronic sensors for impedance measurements and analysis Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Roberto Cardu Ph.D Tutor: Prof. Roberto Guerrieri Summary 3D integration

More information

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.4, DECEMBER, 2006 281 A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration Tae-Geun Yu, Seong-Ik Cho, and Hang-Geun Jeong

More information

An Outside-Rail Opamp Design Relaxing Low-Voltage Constraint on Future Scaled Transistors

An Outside-Rail Opamp Design Relaxing Low-Voltage Constraint on Future Scaled Transistors 786 PAPER Special Section on Low-Power, High-Speed LSIs and Related Technologies An Outside-Rail Opamp Design Relaxing Low-Voltage Constraint on Future Scaled Transistors Koichi ISHIDA a), Member, Atit

More information

THE continuous growth of broadband data communications

THE continuous growth of broadband data communications 1004 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 5, MAY 2006 High-Speed Circuit Designs for Transmitters in Broadband Data Links Jri Lee, Member, IEEE Abstract Various high-speed techniques including

More information

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.4, AUGUST, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.4.552 ISSN(Online) 2233-4866 A 1.5 Gbps Transceiver Chipset in 0.13-mm

More information

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz,

More information

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1 Contents 1 FUNDAMENTAL CONCEPTS 1 1.1 What is Noise Coupling 1 1.2 Resistance 3 1.2.1 Resistivity and Resistance 3 1.2.2 Wire Resistance 4 1.2.3 Sheet Resistance 5 1.2.4 Skin Effect 6 1.2.5 Resistance

More information

Relay Transmission Thruchip Interface with Low-Skew 3D Clock Distribution Network

Relay Transmission Thruchip Interface with Low-Skew 3D Clock Distribution Network 322 PAPER Special Section on Solid-State Circuit Design Architecture, Circuit, Device and Design Methodology Relay Transmission Thruchip Interface with Low-Skew 3D Clock Distribution Network Yasuhiro TAKE

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS Technology

An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS Technology IJIRST International Journal for Innovative Research in Science & Technology Volume 2 Issue 10 March 2016 ISSN (online): 2349-6010 An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS

More information

TRIANGULATION-BASED light projection is a typical

TRIANGULATION-BASED light projection is a typical 246 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 1, JANUARY 2004 A 120 110 Position Sensor With the Capability of Sensitive and Selective Light Detection in Wide Dynamic Range for Robust Active Range

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

A CMOS UWB Transmitter for Intra/Inter-chip Wireless Communication

A CMOS UWB Transmitter for Intra/Inter-chip Wireless Communication A CMOS UWB Transmitter for Intra/Inter-chip Wireless Communication Pran Kanai Saha, Nobuo Sasaki and Takamaro Kikkawa Research Center For Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama,

More information

Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery

Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery Amit K. Jain, Sameer Shekhar, Yan Z. Li Client Computing Group, Intel Corporation

More information

760 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 6, JUNE A 0.8-dB NF ESD-Protected 9-mW CMOS LNA Operating at 1.23 GHz

760 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 6, JUNE A 0.8-dB NF ESD-Protected 9-mW CMOS LNA Operating at 1.23 GHz 760 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 6, JUNE 2002 Brief Papers A 0.8-dB NF ESD-Protected 9-mW CMOS LNA Operating at 1.23 GHz Paul Leroux, Johan Janssens, and Michiel Steyaert, Senior

More information

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information

A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator*

A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator* WP 23.6 A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator* Christopher Lam, Behzad Razavi University of California, Los Angeles, CA New wireless local area network (WLAN) standards have recently emerged

More information

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 2, FEBRUARY A Regulated Charge Pump With Small Ripple Voltage and Fast Start-Up

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 2, FEBRUARY A Regulated Charge Pump With Small Ripple Voltage and Fast Start-Up IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 2, FEBRUARY 2006 425 A Regulated Charge Pump With Small Ripple Voltage and Fast Start-Up Jae-Youl Lee, Member, IEEE, Sung-Eun Kim, Student Member, IEEE,

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

An Inductive-coupling Link with a Complementary Switching Transmitter and an Integrating Receiver

An Inductive-coupling Link with a Complementary Switching Transmitter and an Integrating Receiver JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.2, APRIL, 2014 http://dx.doi.org/10.5573/jsts.2014.14.2.227 An Inductive-coupling Link with a Complementary Switching Transmitter and an Integrating

More information

WITH the rapid evolution of liquid crystal display (LCD)

WITH the rapid evolution of liquid crystal display (LCD) IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 2, FEBRUARY 2008 371 A 10-Bit LCD Column Driver With Piecewise Linear Digital-to-Analog Converters Chih-Wen Lu, Member, IEEE, and Lung-Chien Huang Abstract

More information

Low-Cost 3D Chip Stacking with ThruChip Wireless Connections

Low-Cost 3D Chip Stacking with ThruChip Wireless Connections Low-Cost 3D Chip Stacking with ThruChip Wireless Connections Dave.Ditzel@ThruChip.com Tadahiro.Kuroda@ThruChip.com ThruChip Communications Stanford EE Computer Systems Colloquium Credit to Professor Tadahiro

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

A 315 MHz Power-Gated Ultra Low Power Transceiver in 40 nm CMOS for Wireless Sensor Network

A 315 MHz Power-Gated Ultra Low Power Transceiver in 40 nm CMOS for Wireless Sensor Network IEICE TRANS. ELECTRON., VOL.E95 C, NO.6 JUNE 2012 1035 PAPER Special Section on Analog Circuits and Related SoC Integration Technologies A 315 MHz Power-Gated Ultra Low Power Transceiver in 40 nm CMOS

More information

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 8, AUGUST 2002 1021 A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle Hsiang-Hui Chang, Student Member, IEEE, Jyh-Woei Lin, Ching-Yuan

More information

A 0.18µm CMOS Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link

A 0.18µm CMOS Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link 1 A 0.18µm CMOS 3.125-Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link Ki-Hyuk Lee, Jae-Wook Lee nonmembers and Woo-Young Choi regular member

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 11.9 A Single-Chip Linear CMOS Power Amplifier for 2.4 GHz WLAN Jongchan Kang 1, Ali Hajimiri 2, Bumman Kim 1 1 Pohang University of Science

More information

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard 0.13 µm CMOS SOI Technology School of Electrical and Electronic Engineering Yonsei University 이슬아 1. Introduction 2. Architecture

More information

WITH the growth of data communication in internet, high

WITH the growth of data communication in internet, high 136 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 2, FEBRUARY 2008 A 0.18-m CMOS 1.25-Gbps Automatic-Gain-Control Amplifier I.-Hsin Wang, Student Member, IEEE, and Shen-Iuan

More information

A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS

A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS Marc van Heijningen, John Compiet, Piet Wambacq, Stéphane Donnay and Ivo Bolsens IMEC

More information

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization Sung-Geun Kim, Tongsung Kim, Dae-Hyun Kwon, and Woo-Young Choi Department of Electrical and Electronic Engineering,

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

TIMING recovery (TR) is one of the most challenging receiver

TIMING recovery (TR) is one of the most challenging receiver IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 12, DECEMBER 2006 1393 A Baud-Rate Timing Recovery Scheme With a Dual-Function Analog Filter Faisal A. Musa, Student Member, IEEE,

More information

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.4

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.4 ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.4 26.4 40Gb/s CMOS Distributed Amplifier for Fiber-Optic Communication Systems H. Shigematsu 1, M. Sato 1, T. Hirose 1, F. Brewer 2, M. Rodwell 2 1 Fujitsu,

More information

CLOCK AND DATA RECOVERY (CDR) circuits incorporating

CLOCK AND DATA RECOVERY (CDR) circuits incorporating IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1571 Brief Papers Analysis and Modeling of Bang-Bang Clock and Data Recovery Circuits Jri Lee, Member, IEEE, Kenneth S. Kundert, and

More information

Equivalent Circuit Model Overview of Chip Spiral Inductors

Equivalent Circuit Model Overview of Chip Spiral Inductors Equivalent Circuit Model Overview of Chip Spiral Inductors The applications of the chip Spiral Inductors have been widely used in telecommunication products as wireless LAN cards, Mobile Phone and so on.

More information

High Rejection BPF for WiMAX Applications from Silicon Integrated Passive Device Technology

High Rejection BPF for WiMAX Applications from Silicon Integrated Passive Device Technology High Rejection BPF for WiMAX Applications from Silicon Integrated Passive Device Technology by Kai Liu, Robert C Frye* and Billy Ahn STATS ChipPAC, Inc, Tempe AZ, 85284, USA, *RF Design Consulting, LLC,

More information

BER-optimal ADC for Serial Links

BER-optimal ADC for Serial Links BER-optimal ADC for Serial Links Speaker Name: Yingyan Lin Co-authors: Min-Sun Keel, Adam Faust, Aolin Xu, Naresh R. Shanbhag, Elyse Rosenbaum, and Andrew Singer Advisor s name: Naresh R. Shanbhag Affiliation:

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 831 A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design Gerhard Knoblinger, Member, IEEE,

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

IN RECENT years, we have often seen three-dimensional

IN RECENT years, we have often seen three-dimensional 622 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 Design and Implementation of Real-Time 3-D Image Sensor With 640 480 Pixel Resolution Yusuke Oike, Student Member, IEEE, Makoto Ikeda,

More information

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies Oct. 31, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy

More information

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5 20.5 An Ultra-Low Power 2.4GHz RF Transceiver for Wireless Sensor Networks in 0.13µm CMOS with 400mV Supply and an Integrated Passive RX Front-End Ben W. Cook, Axel D. Berny, Alyosha Molnar, Steven Lanzisera,

More information

Computer Logical Design Laboratory

Computer Logical Design Laboratory Division of Computer Engineering Computer Logical Design Laboratory Tsuneo Tsukahara Professor Tsuneo Tsukahara: Yukihide Kohira Senior Associate Professor Yu Nakajima Research Assistant Software-Defined

More information

Next-Generation Power-Aware Design

Next-Generation Power-Aware Design ISLPED 08 Aug. 13, 16:30-17:30, Bangalore, India Next-Generation Power-Aware Design Prof. Takayasu Sakurai Institute of Industrial Science, University of Tokyo E-mail:tsakurai@iis.u-tokyo.ac.jp We can

More information

A GHz Quadrature ring oscillator for optical receivers van der Tang, J.D.; Kasperkovitz, D.; van Roermund, A.H.M.

A GHz Quadrature ring oscillator for optical receivers van der Tang, J.D.; Kasperkovitz, D.; van Roermund, A.H.M. A 9.8-11.5-GHz Quadrature ring oscillator for optical receivers van der Tang, J.D.; Kasperkovitz, D.; van Roermund, A.H.M. Published in: IEEE Journal of Solid-State Circuits DOI: 10.1109/4.987097 Published:

More information

ISSCC 2006 / SESSION 10 / mm-wave AND BEYOND / 10.1

ISSCC 2006 / SESSION 10 / mm-wave AND BEYOND / 10.1 10.1 A 77GHz 4-Element Phased Array Receiver with On-Chip Dipole Antennas in Silicon A. Babakhani, X. Guan, A. Komijani, A. Natarajan, A. Hajimiri California Institute of Technology, Pasadena, CA Achieving

More information

Design of CMOS Based PLC Receiver

Design of CMOS Based PLC Receiver Available online at: http://www.ijmtst.com/vol3issue10.html International Journal for Modern Trends in Science and Technology ISSN: 2455-3778 :: Volume: 03, Issue No: 10, October 2017 Design of CMOS Based

More information

THE phase-locked loop (PLL) is a very popular circuit component

THE phase-locked loop (PLL) is a very popular circuit component IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 4, APRIL 2005 941 A Background Optimization Method for PLL by Measuring Phase Jitter Performance Shiro Dosho, Member, IEEE, Naoshi Yanagisawa, and Akira

More information

MULTIPHASE clocks are useful in many applications.

MULTIPHASE clocks are useful in many applications. IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 3, MARCH 2004 469 A New DLL-Based Approach for All-Digital Multiphase Clock Generation Ching-Che Chung and Chen-Yi Lee Abstract A new DLL-based approach

More information

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, 2014 http://dx.doi.org/10.5573/jsts.2014.14.3.331 A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

Difficulty of Power Supply Voltage Scaling in Large Scale Subthreshold Logic Circuits

Difficulty of Power Supply Voltage Scaling in Large Scale Subthreshold Logic Circuits 332 IEICE TRANS. ELECTRON., VOL.E93 C, NO.3 MARCH 2010 PAPER Special Section on Circuits and Design Techniques for Advanced Large Scale Integration Difficulty of Power Supply Voltage Scaling in Large Scale

More information

Analysis of On-Chip Spiral Inductors Using the Distributed Capacitance Model

Analysis of On-Chip Spiral Inductors Using the Distributed Capacitance Model 1040 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 6, JUNE 2003 Analysis of On-Chip Spiral Inductors Using the Distributed Capacitance Model Chia-Hsin Wu, Student Member, IEEE, Chih-Chun Tang, and

More information