2366 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 11, NOVEMBER 2010

Size: px
Start display at page:

Download "2366 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 11, NOVEMBER 2010"

Transcription

1 2366 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 11, NOVEMBER 2010 A Low-Energy Inductive Coupling Transceiver With Cm-Range 50-Mbps Data Communication in Mobile Device Applications Seulki Lee, Student Member, IEEE, Kiseok Song, Student Member, IEEE, Jerald Yoo, Member, IEEE, and Hoi-Jun Yoo, Fellow, IEEE Abstract A low-energy inductive coupling transceiver is proposed for Cm-range multimedia data transmission in mobile device applications. The Transmission Time Control (TTC) scheme is proposed to reduce the transmitter energy consumption to pj/b, and the Adaptive Gain Control (AGC) scheme is adopted to make the receiver energy consumption be pj/b. The planar-type inductor with self-resonance frequency of about 200 MHz fabricated on the flexible substrate achieves a data rate over 50 Mbps. To compensate for the weakly coupled channel, the receiver sensitivity is enhanced by the differential detection method (DDM) of the nodal voltages across the receiver inductor. With this method, the communication distance is increased up to 7 cm, and channel misalignment tolerance is enhanced up to 2 cm. The proposed transceiver is implemented within mm 2 in m CMOS process and operates with 1-V supply. Index Terms Inductive coupling, low-power transceiver, mobile device communication, short-range wireless communication. I. INTRODUCTION T HESE days, personal mobile devices such as cellular phones or PDAs are widely used in daily life, and proximity communication between them also becomes popular to conveniently transmit video, audio, graphics, or text-type data. Since their data file size is usually large over 10 MB, the proximity communication in mobile devices should satisfy more stringent requirements. It should support high-speed communication because people are usually impatient with long data transfer time. Also, low energy consumption is highly required because personal mobile devices are battery-powered. In particular, with standard battery capacitance of 1000 mah in current cellular phones, less than 2 pj/b energy consumption is recommended not to affect the battery lifetime even for transmission of 100 MB data. Finally, centimeter-range (Cm-range) distance between mobile devices should be guaranteed to Manuscript received February 05, 2010; revised May 06, 2010; accepted June 24, Date of current version October 22, This paper was approved by Guest Editor Mototsugu Hamada. This work was supported by the Midcareer Researcher Program through the National Research Foundation of Korea (NRF) under Grant funded by the Korean government (MEST). S. Lee, K. Song, and H.-J. Yoo are with the Department of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon , Korea ( sklee@eeinfo.kaist.ac.kr; sks8795@eeinfo.kaist.ac.kr; hjyoo@ee.kaist.ac.kr). J. Yoo is with Microsystems Engineering, Masdar Institute, Abu Dhabi, United Arab Emirates, and also with the Microsystems Technology Laboratory, Massachusetts Institute of Technology, Cambridge, MA USA ( jerald@mit.edu). Digital Object Identifier /JSSC achieve both reliable and safe communication in this application. In general, Infrared Data Association (IrDA) or Bluetooth is used for phone-to-phone proximity data communication. Although IrDA recently achieved high-speed data communication up to 1 Gbps [1], it still suffers from a line-of-sight (LoS) problem. The two communicating mobile devices should be fixed in their locations during data transmission, making the immediate usage very inconvenient. Bluetooth consumes more than 10 mw, which is not proper for a mobile large-file data communication. Since these conventional techniques consume battery power rapidly to transmit large data, it is reasonable to use inductive coupling communication for Cm-range proximity communication. Some inductive coupling transceivers were introduced previously for Cm-range data communication [2] [5], but none of them showed either low energy consumption of few pj/b or high data rate over tens of Mbps. Therefore, we propose an inductive coupling transceiver for low energy and high data rate. In the proposed transceiver, the transmission time control (TTC) scheme in the transmitter and the adaptive gain control (AGC) scheme in the receiver are adopted to achieve the low energy consumption of and pj/b, respectively. The data rate can be reliably increased up to 50 Mbps by adopting the planar inductor with high self-resonance frequency of about 200 MHz on a flexible substrate as a communication channel. However, since the inductance value of this inductor is smaller than that of [3] and [4], the differential detection method (DDM) of the nodal voltages across the receiver inductor is proposed in order to compensate for the weak coupling strength in the communication channel. Due to this method, the communication becomes more robust with enhanced receiver sensitivity. The remainder of this paper is organized as follows. In Section II, channel inductor selection for the proposed transceiver will be discussed. Section III describes the TTC transmitter, and Section IV explains the AGC receiver. The proposed DDM for high sensitivity will be explained in Section V. Then, the implementation results will be shown in Section VI. Finally, conclusions will be made in Section VII. II. CHANNEL INDUCTOR SELECTION The communication channel of the proposed transceiver consists of a pair of inductors. Since the frequency characteristics of the channel mainly affect the maximum data rate of the transceiver, the channel inductor should be selected to have high self-resonance frequency. Especially in pulse-based inductive /$ IEEE

2 LEE et al.: LOW-ENERGY INDUCTIVE COUPLING TRANSCEIVER WITH CM-RANGE 50-MBPS DATA COMMUNICATION IN MOBILE DEVICE APPLICATIONS 2367 of the channel between two mobile devices. From (1), the following condition is derived: are all in millimeters (2) Fig. 1. Planar inductor with its four design parameters. coupling transmission, the data recovery can be correct when the self-resonance frequency of the channel inductor is at least twice the data rate [6]. Moreover, mobile devices are becoming thinner and thinner so that its size will come to be as thick as a credit card and it is fabricated on a flexible substrate [7]. To satisfy this trend in the form factor of mobile devices, a planar-type inductor formed thinly on the flexible substrate is adopted in this work. A. Planar Inductor Fig. 1 shows the octagonal structure of the planar inductor. It has four design parameters: wire width, wire spacing, the number of turns, and inner diameter of the inductor. Other general parameters such as outer diameter, average diameter, or fill ratio [2], [8] can be expressed using,,, and as in According to (1), there is a correlation between each parameter of the inductor. For example, the number of turns cannot be decreased without increasing if,, and are fixed; or, once is determined to have a specific value, should be increased in order to get smaller, i.e., higher self-resonance frequency [8], with fixed and. The size of the channel inductor for mobile device communication is determined by two reasons: the first is communication distance, and the other is mobile device size. Since the inductor size should be increased to the same order of communication distance to achieve higher received signal strength [9], it needs cm-order size. Also, with the consideration of the current mobile phone size, the parameter of the channel inductor is determined to be 3 cm in this work. If the inductor size is much smaller than the mobile device size, the immediate usage is disturbed by misalignment (1) Fig. 2 shows the measurement result of the relationship between self-resonance frequency and design parameters for the planar inductor. The curve in Fig. 2(a) is measured with the case of 30, 0.5, and 0.5 mm for,, and, respectively, while the values of two turns, 0.5 and 0.5 mm, are used for,, and in Fig. 2(b). When,, and are fixed, self-resonance frequency of the inductor is inversely proportional to both and, specifically, the square of [10]. Since and the square of affects the self-resonance frequency [10], reduces it more significantly than. In other words, minimizing would be more effective to achieve higher self-resonance frequency. Also, more than 100 nh of inductance is needed for reliable data transmission. This is because, with less than a 100-nH inductor, the data cannot be recovered at the receiver distant over the cm-range due to its weak coupling strength. The relationship between inductance and is shown in Fig. 3, and it is obvious that a one-turn inductor cannot give enough inductance value. Therefore, and in this work are set to 2 and 29 mm, respectively. Fig. 4 shows the inductor used in this work and its impedance characteristics in the MHz frequency range. Its inductance value is measured as 0.33 H, and MHz of the self-resonance frequency is achieved, which can give enough bandwidth for over 50 Mbps of data transaction. B. Inductor Channel Two similar inductors in Fig. 4 are employed to form a communication channel. The transfer characteristics of the channel as a function of the frequency are measured as shown in Fig. 5. Since the communication between mobile devices is assumed in this work, the channel medium for data transmission will become the case material, which is plastic, not air. Thus, at first, the transfer characteristics within the plastic medium are measured, and air medium is measured as a reference. From the measurement, the channel loss in plastic medium is 1 2 db more than air medium in a low-frequency region of less than 220 MHz, but not affecting that much to the frequency characteristics. Also, in a high-frequency region over 250 MHz, the channel loss in air medium is more than 5 db larger than that of the plastic medium. Since our frequency region of interest is a low-frequency region below 200 MHz, the transceiver for this application should support higher sensitivity than the air-medium case for reliable communication. Also, to enhance the sensitivity, the DDM is proposed in the receiver, as will be described in Section V. III. TTC TRANSMITTER Fig. 6 shows the architecture of the proposed transmitter. It consists of the conventional H-bridge circuit and the proposed TTC circuit which is connected in parallel with an H-bridge circuit. Since the most important issue for transmitter design in mobile device applications is low energy consumption, the H-bridge architecture with the TTC scheme is proposed in order

3 2368 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 11, NOVEMBER 2010 Fig. 2. Measurement result of the relationship between self-resonance frequency (f ) and design parameters. (a) Number of turns n. (b) Inner diameter d. Fig. 3. Relationship between inductance and the number of turns (n). Fig. 5. Frequency characteristics of the air and plastic medium channel (measured at 3-cm channel distance). Fig. 4. Planar inductor employed in this work. (a) Photograph and design parameters. (b) Impedance characteristics. Fig. 6. Transmitter architecture. to increase the data rate and reduce power consumption at once. The H-bridge architecture is generally used in high-speed transmitters for inductive coupling communication [2], [3], [11], but it consumes relatively high power compared with a carrier-modulated transmitter [4]. The most power-consuming part of an H-bridge-based transmitter is the direct path formation from

4 LEE et al.: LOW-ENERGY INDUCTIVE COUPLING TRANSCEIVER WITH CM-RANGE 50-MBPS DATA COMMUNICATION IN MOBILE DEVICE APPLICATIONS 2369 Fig. 7. Operation of the TTC scheme. power supply to ground whenever data are transmitted. To suppress this unwanted power consumption, several techniques like pulse generation [2] or pulsewidth control [11] were proposed. However, it still consumes a few milliwatts, which is too much for mobile applications. In the inductive coupling communication, the received voltage is expressed by transmitted current and mutual inductance of the channel equation. From this relationship and [11], the received voltage magnitude is not changed if the pulse slew rate is kept the same. Therefore, if the transmission time is reduced without degrading the slew rate of the transmitted pulse, lower power consumption can be obtained without any attenuation of the received voltage in the receiver. Fig. 7 shows the operation of the proposed TTC scheme. When the signal across the transmit inductor becomes larger than the predetermined reference value of the comparator, the TTC scheme is enabled so that both sides of the transmit inductor are shorted together. At the same time, the control signal of nmoss in the H-bridge circuit, which is the output of the three-input OR gate, transits from 1 to 0 due to the output of the comparator in the TTC circuit. As a result, the time for direct path formation from power supply to ground can be shortened. This affects both power reduction and intersymbol interference (ISI) reduction, and ISI reduction leads to the high data rate. For correct operation, the reference voltages of the comparator in the TTC circuit of Fig. 6 should be varied, and Fig. 8 shows the circuits in detail. By using the magnitude difference between and, the output of the comparator is determined with (3). Fig. 9 summarizes the values of and for this comparator according to the transmit data, TXDATA. In the case of data 1 transmission, the TTC circuit should be enabled when the signal becomes larger than the positive reference value. In the case of data 0 transmission, it should be enabled when the signal becomes smaller than the reference value which is negative. All reference voltage generators used in this work are modified from those of [12]. To implement the bias circuit with low power consumption, the transistors are operated in the subthreshold region. Its current level is very low, about 1 A. There are four reference voltage generators in this work, two for the transmitter and another two for the receiver. Since this voltage generator circuit also has the supply-independent characteristics, it can support the more reliable operation to the proposed transceiver. By using the TTC scheme, the maximum data rate can be increased up to 50 Mbps, which is five times higher than that of [3]. Also, power consumption is reduced from 1 mw [2] to W at a 50-Mbps data rate. In total, 95.7% and 83.6% of energy is reduced compared with [2] and [3], respectively. Although the lowest energy inductive coupling transceiver is reported in [11], it is excluded from the performance comparison since its chip-stacking application and micrometer-range communication are totally differed from this work. IV. AGC RECEIVER In the mobile device applications like in this work, one of the most important issues for the user is convenience. For example, users want to carry them freely, and the data transaction must be accomplished even though there is some misalignment between two devices. To achieve more convenience, the transceiver should offer high sensitivity since misalignment or distance between two mobile devices reduces the received signal strength. Fig. 10 shows the architecture of the proposed receiver. It consists of a gain amplifier, a sense amplifier (SA), and the AGC circuit. Sensitivity of the proposed receiver is increased by the AGC scheme in order to make continuous communication possible even if the devices are slightly moved by users during the data transaction. To optimize both power consumption and communication reliability, two receiver modes are proposed: one is the low-power (LP) mode, and the other is the high-sensitivity (HS) mode as shown in Fig. 11. In LP mode operation, the AGC scheme disables the gain amplifier, and the direct path from the receiver inductor to the SA is formed to achieve low power consumption. By contrast, the AGC scheme enables the gain amplifier in HS mode. The received signal magnitude becomes larger since all of them are passed through the gain amplifier, but the power consumption is also increased compared with the LP mode. Compared with [2] and [3], with the help of the AGC, the proposed receiver can detect much a smaller signal in HS mode without increasing its power consumption in the LP mode. The operation mode change of the proposed receiver is shown in Fig. 12. At first, the receiver always operates in the LP mode. As the communication distance between the transmitter and receiver inductor becomes longer or the misalignment between two inductors becomes larger, the maximum signal amplitude across the receiver inductor,, is gradually decreased. At a distance of 5 cm, the value of becomes smaller than the predetermined reference value. Thus, the operation mode

5 2370 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 11, NOVEMBER 2010 Fig. 8. Comparator in the TTC circuit. However, both the communication distance and misalignment tolerance are worse than the HS mode, since its sensitivity is not sufficient to recover a very small signal. In the HS mode, although the receiver consumes slightly more power, 65.2 W, the communication distance and misalignment tolerance can be increased up to 7 and 2 cm, which are 29% and 25% enhancements compared with the LP mode, respectively, due to its higher sensitivity. Fig. 9. Summary of reference values for TTC circuit. Fig. 10. Receiver architecture. changes into the HS mode, and AGC enables the gain amplifier. The data can be transmitted correctly even for the switching period from the LP mode to the HS mode because is initially set to a larger value than the operating limitation of the sense amplifier. Since the value of is also decreased with increasing communication distance in the HS mode, the signal can be recovered correctly within 7 cm of communication distance, even in the HS mode. By using these two operation modes in the receiver, it can achieve either LP consumption or HS according to the communication environment. In the LP mode, the receiver power consumption is W, which is a 37% reduction from HS mode. V. HS DDM As explained in Section II, the channel medium for the mobile device communication is determined by the case material of the devices. Also, since the channel loss of the plastic medium channel is slightly higher than that of the air medium channel in our frequency region of interest below 200 MHz, the DDM is proposed for higher sensitivity in the receiver. The DDM scheme can be implemented by connecting two inputs of the SA to the receiver inductor nodes in the LP mode or the outputs of the gain amplifier in the HS mode as shown in Fig. 11. Fig. 13(a) shows the SA circuit which is used in the proposed DDM scheme. It basically operates just as a conventional SA, but it adopts some logic gates in order to reduce power consumption. When the clock signal CLK goes low, the two differential outputs of the sense amplifier, and,go to the precharge period so both values are 1. When CLK is high, the outputs are determined by the magnitude relationship between two inputs, and. Once values of and are determined, the cross-coupled structure in the sense amplifier stops its operation. Therefore, a XOR gate and an AND gate are connected as shown in Fig. 13(a) in order to reduce the power consumption after the full development of outputs. Since and always have the opposite values each other, the output of XOR gate must be 1 whenever the output determined. Thus, the proposed SA circuit detects the time when the determination is finished and turns off the nmos transistor in cross-coupled structure. Its final outputs are and, which are the outputs of two D-flip-flops. They are edge-triggered and their values are not changed even though nmos is turned off while CLK is low. Fig. 13(b) shows

6 LEE et al.: LOW-ENERGY INDUCTIVE COUPLING TRANSCEIVER WITH CM-RANGE 50-MBPS DATA COMMUNICATION IN MOBILE DEVICE APPLICATIONS 2371 Fig. 11. Two modes of the proposed receiver. (a) LP mode. (b) HS mode. Fig. 12. Operation mode change of the proposed receiver. the output determination table according to, and CLK. As mentioned above, both and are high if CLK is low (precharge period). And the data determination is performed while only CLK is high. If is larger than at the point of comparison, then becomes high while becomes low. In contrast, if is larger than, then becomes high and becomes low. Fig. 13(c) shows the timing diagram of the proposed SA. This proposed DDM scheme replaces the Schmitt triggers used in [2] and [3], and it shows higher sensitivity. Since the Schmitt trigger uses absolute reference voltage to separate data 0 and 1 and it is just fixed before data communication, it cannot deal with longer communication distance in which the received signal for data 1 is smaller than reference voltage. However, the proposed scheme can recover the received data correctly if the difference between two nodes of the received signal is larger than operation limitation of the SA. Thus, the sensitivity can be improved over 16% compared with the simulation result of [2]. VI. IMPLEMENTATION RESULTS Fig. 14 shows the chip micrograph of the proposed inductive coupling transceiver. The die size is 1.5 mm 2.37 mm including pads in a m CMOS technology. Successful data transaction of the proposed transceiver at 50-Mbps data rate is shown in Fig. 15. The communication distance between transmitter and receiver inductor is 3 cm in this case, and they are aligned to each other. For every low period of receiver clock, the output data of the receiver become high since pmoss in the Fig. 13. SA. (a) Circuit. (b) Output determination table. (c) Timing diagram.

7 2372 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 11, NOVEMBER 2010 Fig. 14. Chip microphotograph. Fig. 15. Transceiver operation at 3-cm communication distance. Top: transmitted clock. Middle: transmitted data. Bottom: recovered data. SA are turned on by the receiver clock. It affects the recovered data to have a 50% duty for data 1 while it always remains low for data 0 as shown in Fig. 15. The BER measurement results are plotted in Fig. 16. The BER at 3-cm communication distance is two times lower than that of [4]. With the proposed AGC scheme, BER characteristics are improved for communication over 5 cm. In the HS mode, 7 cm is measured as the maximum communication distance when, which is 57% longer than in [4] and increased by 16% over the simulation result of [2]. Also, the proposed DDM scheme increases the misalignment tolerance. As shown in Fig. 16(b), the transceiver itself can compensate for 1.5 cm of misalignment, and the gain amplifier in HS mode can make it up additional 0.5 cm of misalignment. Compared with 1 cm of misalignment tolerance in [4], the amount of tolerance is increased twice. The enhanced tolerance can support the convenient usage for users. Table I summarize the performance of the proposed inductive coupling transceiver chip. All blocks operate with 1-V supply, and the power consumption of the complete transceiver is 65 W (23.74 W for the transmitter, and W for the receiver in LP mode) when the data rate is 50 Mbps. The total energy consumption is and pj/b for the transmitter and the receiver, respectively. The performance comparison with the previous works is summarized in Table II. With the help of three proposed schemes, TTC, AGC, and DDM, the proposed inductive coupling transceiver chip consumes the lowest energy in both the transmitter and the receiver with the longest communication distance. Since the proposed transceiver chip operates as either the transmitter or the receiver and does not operates as both at the same time, Fig. 16. BER measurement result. (a) Communication distance. (b) Inductor channel misalignment. TABLE I PERFORMANCE SUMMARY the effective maximum energy consumption is pj/b in LP mode of the receiver. VII. CONCLUSION An inductive coupling transceiver for high-speed data transaction in mobile device applications is proposed and implemented in m CMOS process. The TTC scheme of the transmitter and the AGC scheme of the receiver make the transmitter and the receiver consume only and pj/b of energy, respectively, under a 1-V supply voltage. Moreover, adopting an inductor channel made of the planar inductors on the flexible substrate with around 200-MHz self-resonance frequency improves the data rate up to 50 Mbps. The DDM of nodal voltages across the receiver inductor increases the receiver sensitivity. Finally, by employing the AGC scheme,

8 LEE et al.: LOW-ENERGY INDUCTIVE COUPLING TRANSCEIVER WITH CM-RANGE 50-MBPS DATA COMMUNICATION IN MOBILE DEVICE APPLICATIONS 2373 TABLE II PERFORMANCE COMPARISON the receiver can increase the communication distance and misalignment tolerance in the HS mode up to 7 and 2 cm, respectively, without increasing power consumption in LP mode. The transceiver consumes only 65 W in total with 50-Mbps data rate. REFERENCES [1] Development of 1 Gbit/s infrared communication technology for mobile devices, J. Inst. Electron., Inf. Commun. Eng., vol. 91, no. 5, pp , May 2008, News Analysis. [2] S. Lee, J. Yoo, and H.-J. Yoo, A 200 Mbps 0.02 nj/b dual-mode inductive coupling transceiver for cm-range multimedia interconnection, IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 56, no. 5, pp , May [3] J. Yoo, S. Lee, and H.-J. Yoo, A 1.12 pj/b resonance compensated inductive transceiver with a fault-tolerant network switch for multilayer wearable body area network applications, IEEE J. Solid-State Circuits, vol. 44, no. 11, pp , Nov [4] S. Lee, J. Yoo, H. Kim, and H.-J. Yoo, A dynamic real-time capacitor compensated inductive coupling transceiver for wearable body sensor network, in Symp. VLSI Circuits Dig. Tech. Papers, Jun. 2009, pp [5] D. Guermandi, S. Gambini, and J. Rabaey, A 1 V 250 kpps 90 nm CMOS pulse based transceiver for cm-range wireless communication, in Proc. IEEE Eur. Solid-State Circuits Conf., Sep. 2007, pp [6] N. Miura, D. Mizoguchi, M. Inoue, K. Niitsu, Y. Nakagawa, M. Tago, M. Fukaishi, T. Sakurai, and T. Kuroda, A 1 Tb/s 3W inductive-coupling transceiver for 3D-stacked inter-chip clock and data link, IEEE J. Solid-State Circuits, vol. 42, no. 1, pp , Jan [7] A. Rakotonirainy, Trends and future of mobile computing, in Proc. 10th Int. Workshop Database Expert Syst. Appl., Sep. 1999, pp [8] J. Yoo, Wearable body sensor network SoC for continuous health monitoring, Ph.D. dissertation, Dept. of Elect. Eng., KAIST, Daejeon, Korea, [9] H. Ishikuro, N. Miura, and T. Kuroda, Wideband inductive-coupling interface for high-performance portable system, in Proc. IEEE Custom Integr. Circuits Conf., 2007, pp [10] S. S. Mohan, The design, modeling, and optimization of on-chip inductor and transformer circuits, Ph.D. dissertation, Dept. of Electron. Eng., Stanford Univ., Stanford, CA, [11] N. Miura, H. Ishikuro, K. Niitsu, T. Sakurai, and T. Kuroda, A 0.14 pj/b inductive-coupling transceiver with digitally-controlled precise pulse shaping, IEEE J. Solid-State Circuits, vol. 43, no. 1, pp , Jan [12] G. D. Vita and G. Iannaccone, A sub-1 V, 10 ppm/ C, nanopower voltage reference generator, IEEE J. Solid State Circuits, vol. 42, no. 7, pp , Jul [13] S. Lee, J. Yoo, K. Song, and H.-J. Yoo, A 1.3 pj/b inductive coupling transceiver with adaptive gain control for cm-range 50 Mbps data communication, in Proc. IEEE Asian Solid-State Circuits Conf., 2009, pp Seulki Lee (S 07) received the B.S. and M.S. degrees from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2007 and 2009, respectively, in electrical engineering, where she is currently working toward the Ph.D. degree in electrical engineering. Her current research interests include the inductive coupling transceiver design and near-field communication for wearable computing applications. Kiseok Song (S 09) received the B.S. degree in electrical engineering and computer science from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2009, where he is currently working toward the M.S. degree in electrical engineering. His current research interests include wirelessly powered stimulators and body channel communication. Jerald Yoo (S 05 M 10) received the B.S., M.S., and Ph.D. degrees from the Department of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2002, 2007, and 2010, respectively. In May 2010, he joined the faculty of Microsystems Engineering, Masdar Institute, Abu Dhabi, United Arab Emirates, where he is an Assistant Professor. He is currently also with the Microsystems Technology Laboratory, Massachusetts Institute of Technology, Cambridge, as a Visiting Scholar. As a Chief Researcher with the Semiconductor System Laboratory, KAIST, he developed low-energy body-area network (BAN) transceivers and wearable body sensor network using planar-fashionable circuit board (P-FCB) for continuous health monitoring systems. He is an author of a book chapter in Biomedical CMOS ICs (Springer, 2010). His research focuses on low-energy circuit technology for wearable bio signal sensors, wirelesspower transmission, SoC design to system realization for wearable healthcare applications, and energy-efficient biomedical circuit techniques. Dr. Yoo was a corecipient of the Asian Solid-State Circuits Conference Outstanding Design Award in 2005.

9 2374 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 11, NOVEMBER 2010 Hoi-Jun Yoo (M 95 SM 04 F 08) received the B.S. degree in electronics from Seoul National University, Seoul, Korea, in 1983, and the M.S. and Ph.D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 1985 and 1988, respectively. His Ph.D. work concerned the fabrication process for GaAs vertical optoelectronic integrated circuits. From 1988 to 1990, he was with Bell Communications Research, Red Bank, NJ, where he invented the 2-D phased-locked VCSEL array, the front-surface-emitting laser, and the high-speed lateral HBT. In 1991, he became a Manager of the DRAM Design Group, Hyundai Electronics, and designed a family of fast-1m DRAMs and 256M synchronous DRAMs. In 1998, he joined the faculty of the Department of Electrical Engineering at KAIST and now is a Full Professor. From 2001 to 2005, he was the director of System Integration and IP Authoring Research Center (SIPAC), funded by the Korean government to promote worldwide IP authoring and its SoC application. From 2003 to 2005, he was the full-time Advisor to the Minister of the Korea Ministry of Information and Communication and National Project Manager for SoC and Computer. In 2007, he founded System Design Innovation & Application Research Center (SDIA), KAIST, to research and develops SoCs for intelligent robots, wearable computers, and biosystems. He is the author of the books DRAM Design (Hongleung, 1996, in Korean) and High Performance DRAM (Sigma, 1999, in Korean) and chapters of Networks on Chips (Morgan Kaufmann, 2006). He is a member of the executive committee of ISSCC, Symposium on VLSI, and A-SSCC. He is the TPC chair of the A-SSCC His current interests are high-speed and low-power networks on chips, 3-D graphics, body area networks, biomedical devices and circuits, and memory circuits and systems. Dr. Yoo was the recipient of the Electronic Industrial Association of Korea Award for his contribution to DRAM technology the 1994, the Hynix Development Award in 1995, the Korea Semiconductor Industry Association Award in 2002, Best Research of KAIST Award in 2007, Design Award of 2001 ASP- DAC, and Outstanding Design Awards 2005, 2006, 2007 A-SSCC.

Planar Fashionable Circuit Board Technology and Its Applications

Planar Fashionable Circuit Board Technology and Its Applications 174 SEULKI LEE et al : PLANAR FASHIONABLE CIRCUIT BOARD TECHNOLOGY AND ITS APPLICATIONS Planar Fashionable Circuit Board Technology and Its Applications Seulki Lee, Binhee Kim, and Hoi-Jun Yoo Abstract

More information

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 2, FEBRUARY A Regulated Charge Pump With Small Ripple Voltage and Fast Start-Up

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 2, FEBRUARY A Regulated Charge Pump With Small Ripple Voltage and Fast Start-Up IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 2, FEBRUARY 2006 425 A Regulated Charge Pump With Small Ripple Voltage and Fast Start-Up Jae-Youl Lee, Member, IEEE, Sung-Eun Kim, Student Member, IEEE,

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

Design of CMOS Based PLC Receiver

Design of CMOS Based PLC Receiver Available online at: http://www.ijmtst.com/vol3issue10.html International Journal for Modern Trends in Science and Technology ISSN: 2455-3778 :: Volume: 03, Issue No: 10, October 2017 Design of CMOS Based

More information

THE content-addressable memory (CAM) is one of the most

THE content-addressable memory (CAM) is one of the most 254 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 1, JANUARY 2005 A 0.7-fJ/Bit/Search 2.2-ns Search Time Hybrid-Type TCAM Architecture Sungdae Choi, Kyomin Sohn, and Hoi-Jun Yoo Abstract This paper

More information

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

NEW WIRELESS applications are emerging where

NEW WIRELESS applications are emerging where IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 709 A Multiply-by-3 Coupled-Ring Oscillator for Low-Power Frequency Synthesis Shwetabh Verma, Member, IEEE, Junfeng Xu, and Thomas H. Lee,

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

A Capacitive Coupling Interface with High Sensitivity for Wireless Wafer Testing

A Capacitive Coupling Interface with High Sensitivity for Wireless Wafer Testing A Capacitive Coupling Interface with High Sensitivity for Wireless Wafer Testing Gil-Su Kim, Makoto Takamiya, and Takayasu Sakurai The Institute of Industrial Science The University of Tokyo Tokyo, Japan

More information

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.6, DECEMBER, 2016 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2016.16.6.842 ISSN(Online) 2233-4866 A 82.5% Power Efficiency at 1.2 mw

More information

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 http://dx.doi.org/10.5573/jsts.2012.12.4.405 An 8-Gb/s Inductorless Adaptive Passive Equalizer in 0.18- µm CMOS Technology

More information

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 12, DECEMBER /$ IEEE

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 12, DECEMBER /$ IEEE IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 12, DECEMBER 2009 3459 A 10.8 mw Body Channel Communication/MICS Dual-Band Transceiver for a Unified Body Sensor Network Controller Namjun Cho, Student

More information

A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier

A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier 852 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 7, JULY 2002 A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier Ryuichi Fujimoto, Member, IEEE, Kenji Kojima, and Shoji Otaka Abstract A 7-GHz low-noise amplifier

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

SINCE the performance of personal computers (PCs) has

SINCE the performance of personal computers (PCs) has 334 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 5, MAY 2010 Multi-Slot Main Memory System for Post DDR3 Jaejun Lee, Sungho Lee, and Sangwook Nam, Member, IEEE Abstract This

More information

A 0.24-nJ/b Wireless Body-Area-Network Transceiver With Scalable Double-FSK Modulation

A 0.24-nJ/b Wireless Body-Area-Network Transceiver With Scalable Double-FSK Modulation 310 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 47, NO. 1, JANUARY 2012 A 0.24-nJ/b Wireless Body-Area-Network Transceiver With Scalable Double-FSK Modulation Joonsung Bae, Student Member, IEEE, Kiseok

More information

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 15.7 A 4µA-Quiescent-Current Dual-Mode Buck Converter IC for Cellular Phone Applications Jinwen Xiao, Angel Peterchev, Jianhui Zhang, Seth Sanders

More information

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.2, APRIL, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.2.281 ISSN(Online) 2233-4866 A 4b/cycle Flash-assisted SAR ADC with

More information

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 11.9 A Single-Chip Linear CMOS Power Amplifier for 2.4 GHz WLAN Jongchan Kang 1, Ali Hajimiri 2, Bumman Kim 1 1 Pohang University of Science

More information

An ultra-low power BPSK demodulator with dual band filtering for implantable biomedical devices

An ultra-low power BPSK demodulator with dual band filtering for implantable biomedical devices LETTER IEICE Electronics Express, Vol.10, No.7, 1 5 An ultra-low power BPSK demodulator with dual band filtering for implantable biomedical devices Benjamin P. Wilkerson, Joon-Hyup Seo, Jin-Cheol Seo,

More information

WITH advancements in submicrometer CMOS technology,

WITH advancements in submicrometer CMOS technology, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 3, MARCH 2005 881 A Complementary Colpitts Oscillator in CMOS Technology Choong-Yul Cha, Member, IEEE, and Sang-Gug Lee, Member, IEEE

More information

RECENTLY, low-voltage and low-power circuit design

RECENTLY, low-voltage and low-power circuit design IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 4, APRIL 2008 319 A Programmable 0.8-V 10-bit 60-MS/s 19.2-mW 0.13-m CMOS ADC Operating Down to 0.5 V Hee-Cheol Choi, Young-Ju

More information

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization Sung-Geun Kim, Tongsung Kim, Dae-Hyun Kwon, and Woo-Young Choi Department of Electrical and Electronic Engineering,

More information

A 0.95mW/1.0Gbps Spiral-Inductor Based Wireless Chip-Interconnect with Asynchronous Communication Scheme

A 0.95mW/1.0Gbps Spiral-Inductor Based Wireless Chip-Interconnect with Asynchronous Communication Scheme A 0.95mW/1.0Gbps Spiral-Inductor Based Wireless Chip-Interconnect with Asynchronous Communication Scheme Mamoru Sasaki and Atsushi Iwata Graduate School, Hiroshima University Kagamiyama 1-4-1, Higashihiroshima-shi,

More information

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies JOURNAL OF ELECTROMAGNETIC ENGINEERING AND SCIENCE, VOL. 17, NO. 2, 98~104, APR. 2017 http://dx.doi.org/10.5515/jkiees.2017.17.2.98 ISSN 2234-8395 (Online) ISSN 2234-8409 (Print) CMOS 120 GHz Phase-Locked

More information

A 4-channel Time Interleaved Sampler based 3-5 GHz band CMOS Radar IC in 0.13 mm for Surveillance

A 4-channel Time Interleaved Sampler based 3-5 GHz band CMOS Radar IC in 0.13 mm for Surveillance JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.1, FEBRUARY, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.1.084 ISSN(Online) 2233-4866 A 4-channel Time Interleaved Sampler

More information

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation 2518 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 59, NO. 11, NOVEMBER 2012 A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

DAT175: Topics in Electronic System Design

DAT175: Topics in Electronic System Design DAT175: Topics in Electronic System Design Analog Readout Circuitry for Hearing Aid in STM90nm 21 February 2010 Remzi Yagiz Mungan v1.10 1. Introduction In this project, the aim is to design an adjustable

More information

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique ECE1352 Term Paper Low Voltage Phase-Locked Loop Design Technique Name: Eric Hu Student Number: 982123400 Date: Nov. 14, 2002 Table of Contents Abstract pg. 04 Chapter 1 Introduction.. pg. 04 Chapter 2

More information

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract , pp.17-22 http://dx.doi.org/10.14257/ijunesst.2016.9.8.02 A 12-bit 100kS/s SAR ADC for Biomedical Applications Sung-Chan Rho 1 and Shin-Il Lim 2 1 Department of Electronics and Computer Engineering, Seokyeong

More information

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Marvin Onabajo Assistant Professor Analog and Mixed-Signal Integrated Circuits (AMSIC) Research Laboratory Dept.

More information

MULTIFUNCTION and high-performance LSI systems

MULTIFUNCTION and high-performance LSI systems IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 4, APRIL 2005 829 Analysis and Design of Inductive Coupling and Transceiver Circuit for Inductive Inter-Chip Wireless Superconnect Noriyuki Miura, Daisuke

More information

A μw Bio-impedance Sensor with 276 μs Settling Time for Portable Blood Pressure Monitoring System

A μw Bio-impedance Sensor with 276 μs Settling Time for Portable Blood Pressure Monitoring System JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.6, DECEMBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.6.912 ISSN(Online) 2233-4866 A 55.77 μw Bio-impedance Sensor with

More information

Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing

Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing N.Rajini MTech Student A.Akhila Assistant Professor Nihar HoD Abstract This project presents two original implementations

More information

IN RECENT years, low-dropout linear regulators (LDOs) are

IN RECENT years, low-dropout linear regulators (LDOs) are IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 9, SEPTEMBER 2005 563 Design of Low-Power Analog Drivers Based on Slew-Rate Enhancement Circuits for CMOS Low-Dropout Regulators

More information

THE reference spur for a phase-locked loop (PLL) is generated

THE reference spur for a phase-locked loop (PLL) is generated IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 8, AUGUST 2007 653 Spur-Suppression Techniques for Frequency Synthesizers Che-Fu Liang, Student Member, IEEE, Hsin-Hua Chen, and

More information

Tae-Kwang Jang. Electrical Engineering, University of Michigan

Tae-Kwang Jang. Electrical Engineering, University of Michigan Education Tae-Kwang Jang Electrical Engineering, University of Michigan E-Mail: tkjang@umich.edu Ph.D. in Electrical Engineering, University of Michigan September 2013 November 2017 Dissertation title:

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

Performance Analysis of A Driver Cricuit and An Input Amplifier for BCC

Performance Analysis of A Driver Cricuit and An Input Amplifier for BCC American Journal of Engineering Research (AJER) e-issn : 2320-0847 p-issn : 2320-0936 Volume-02, Issue-11, pp-252-259 www.ajer.org Research Paper Open Access Performance Analysis of A Driver Cricuit and

More information

A Triple-Band Voltage-Controlled Oscillator Using Two Shunt Right-Handed 4 th -Order Resonators

A Triple-Band Voltage-Controlled Oscillator Using Two Shunt Right-Handed 4 th -Order Resonators JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.4, AUGUST, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.4.506 ISSN(Online) 2233-4866 A Triple-Band Voltage-Controlled Oscillator

More information

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, OL.13, NO.5, OCTOBER, 2013 http://dx.doi.org/10.5573/jsts.2013.13.5.459 A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier Geontae

More information

Design of Dynamic Latched Comparator with Reduced Kickback Noise

Design of Dynamic Latched Comparator with Reduced Kickback Noise Volume 118 No. 17 2018, 289-298 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu Design of Dynamic Latched Comparator with Reduced Kickback Noise N

More information

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.4, AUGUST, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.4.552 ISSN(Online) 2233-4866 A 1.5 Gbps Transceiver Chipset in 0.13-mm

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

Integrate-and-Fire Neuron Circuit and Synaptic Device with Floating Body MOSFETs

Integrate-and-Fire Neuron Circuit and Synaptic Device with Floating Body MOSFETs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.6, DECEMBER, 2014 http://dx.doi.org/10.5573/jsts.2014.14.6.755 Integrate-and-Fire Neuron Circuit and Synaptic Device with Floating Body MOSFETs

More information

The Signal Transmission Mechanism on the Surface of Human Body for Body Channel Communication

The Signal Transmission Mechanism on the Surface of Human Body for Body Channel Communication 582 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012 The Signal Transmission Mechanism on the Surface of Human Body for Body Channel Communication Joonsung Bae, Student

More information

An Energy Efficient 1 Gb/s, 6-to-10 GHz CMOS IR-UWB Transmitter and Receiver With Embedded On-Chip Antenna

An Energy Efficient 1 Gb/s, 6-to-10 GHz CMOS IR-UWB Transmitter and Receiver With Embedded On-Chip Antenna An Energy Efficient 1 Gb/s, 6-to-10 GHz CMOS IR-UWB Transmitter and Receiver With Embedded On-Chip Antenna Zeshan Ahmad, Khaled Al-Ashmouny, Kuo-Ken Huang EECS 522 Analog Integrated Circuits (Winter 09)

More information

DESIGN AND ANALYSIS OF SUB 1-V BANDGAP REFERENCE (BGR) VOLTAGE GENERATORS FOR PICOWATT LSI s.

DESIGN AND ANALYSIS OF SUB 1-V BANDGAP REFERENCE (BGR) VOLTAGE GENERATORS FOR PICOWATT LSI s. http:// DESIGN AND ANALYSIS OF SUB 1-V BANDGAP REFERENCE (BGR) VOLTAGE GENERATORS FOR PICOWATT LSI s. Shivam Mishra 1, K. Suganthi 2 1 Research Scholar in Mech. Deptt, SRM University,Tamilnadu 2 Asst.

More information

TRIANGULATION-BASED light projection is a typical

TRIANGULATION-BASED light projection is a typical 246 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 1, JANUARY 2004 A 120 110 Position Sensor With the Capability of Sensitive and Selective Light Detection in Wide Dynamic Range for Robust Active Range

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 10.8 10Gb/s Limiting Amplifier and Laser/Modulator Driver in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi Electrical Engineering

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

REDUCING power consumption and enhancing energy

REDUCING power consumption and enhancing energy 548 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 6, JUNE 2016 A Low-Voltage PLL With a Supply-Noise Compensated Feedforward Ring VCO Sung-Geun Kim, Jinsoo Rhim, Student Member,

More information

WIDE tuning range is required in CMOS LC voltage-controlled

WIDE tuning range is required in CMOS LC voltage-controlled IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 5, MAY 2008 399 A Wide-Band CMOS LC VCO With Linearized Coarse Tuning Characteristics Jongsik Kim, Jaewook Shin, Seungsoo Kim,

More information

Advances In Natural And Applied Sciences Homepage: October; 12(10): pages 1-7 DOI: /anas

Advances In Natural And Applied Sciences Homepage: October; 12(10): pages 1-7 DOI: /anas Advances In Natural And Applied Sciences Homepage: http://www.aensiweb.com/anas/ 2018 October; 12(10): pages 1-7 DOI: 10.22587/anas.2018.12.10.1 Research Article AENSI Publications Design of CMOS Architecture

More information

GENERALLY speaking, to decrease the size and weight of

GENERALLY speaking, to decrease the size and weight of 532 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 24, NO. 2, FEBRUARY 2009 A Low-Consumption Regulated Gate Driver for Power MOSFET Ren-Huei Tzeng, Student Member, IEEE, and Chern-Lin Chen, Senior Member,

More information

THE TREND toward implementing systems with low

THE TREND toward implementing systems with low 724 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 7, JULY 1995 Design of a 100-MHz 10-mW 3-V Sample-and-Hold Amplifier in Digital Bipolar Technology Behzad Razavi, Member, IEEE Abstract This paper

More information

Widely Tunable Adaptive Resolution-controlled Read-sensing Reference Current Generation for Reliable PRAM Data Read at Scaled Technologies

Widely Tunable Adaptive Resolution-controlled Read-sensing Reference Current Generation for Reliable PRAM Data Read at Scaled Technologies JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.363 ISSN(Online) 2233-4866 Widely Tunable Adaptive Resolution-controlled

More information

Ultra-high-speed Interconnect Technology for Processor Communication

Ultra-high-speed Interconnect Technology for Processor Communication Ultra-high-speed Interconnect Technology for Processor Communication Yoshiyasu Doi Samir Parikh Yuki Ogata Yoichi Koyanagi In order to improve the performance of storage systems and servers that make up

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 2190 Biquad Infinite Impulse Response Filter Using High Efficiency Charge Recovery Logic K.Surya 1, K.Chinnusamy

More information

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6 ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6 26.6 40Gb/s Amplifier and ESD Protection Circuit in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi University of California, Los Angeles, CA Optical

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

ULTRA-WIDEBAND (UWB) is defined as a signal that occupies

ULTRA-WIDEBAND (UWB) is defined as a signal that occupies IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 4, APRIL 2006 891 A CMOS Ultra-Wideband Impulse Radio Transceiver for 1-Mb/s Data Communications and 2.5-cm Range Finding Takahide Terada, Shingo Yoshizumi,

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2 Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2 1 PG student, Department of ECE, Vivekanandha College of Engineering for Women. 2 Assistant

More information

A Low Power Single Phase Clock Distribution Multiband Network

A Low Power Single Phase Clock Distribution Multiband Network A Low Power Single Phase Clock Distribution Multiband Network A.Adinarayana Asst.prof Princeton College of Engineering and Technology. Abstract : Frequency synthesizer is one of the important elements

More information

DISTRIBUTED amplification is a popular technique for

DISTRIBUTED amplification is a popular technique for IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 5, MAY 2011 259 Compact Transformer-Based Distributed Amplifier for UWB Systems Aliakbar Ghadiri, Student Member, IEEE, and Kambiz

More information

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Thomas H. Lee Stanford University, Stanford, CA At submicron channel lengths, CMOS is

More information

A Low-Ripple Poly-Si TFT Charge Pump for Driver-Integrated LCD Panel

A Low-Ripple Poly-Si TFT Charge Pump for Driver-Integrated LCD Panel 606 EEE Transactions on Consumer Electronics, ol. 51, No. 2, MAY 2005 A Low-Ripple Poly-Si TFT Charge Pump for Driver-ntegrated LCD Panel Changsik Yoo, Member, EEE and Kyun-Lyeol Lee Abstract A low-ripple

More information

AS very large-scale integration (VLSI) circuits continue to

AS very large-scale integration (VLSI) circuits continue to IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 49, NO. 11, NOVEMBER 2002 2001 A Power-Optimal Repeater Insertion Methodology for Global Interconnects in Nanometer Designs Kaustav Banerjee, Member, IEEE, Amit

More information

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5 20.5 An Ultra-Low Power 2.4GHz RF Transceiver for Wireless Sensor Networks in 0.13µm CMOS with 400mV Supply and an Integrated Passive RX Front-End Ben W. Cook, Axel D. Berny, Alyosha Molnar, Steven Lanzisera,

More information

THE GROWTH of the portable electronics industry has

THE GROWTH of the portable electronics industry has IEEE POWER ELECTRONICS LETTERS 1 A Constant-Frequency Method for Improving Light-Load Efficiency in Synchronous Buck Converters Michael D. Mulligan, Bill Broach, and Thomas H. Lee Abstract The low-voltage

More information

High efficiency DC-DC Buck converter architecture suitable for embedded applications using switched capacitor

High efficiency DC-DC Buck converter architecture suitable for embedded applications using switched capacitor International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 2 Issue 4 ǁ April. 2013 ǁ PP.15-19 High efficiency DC-DC Buck converter architecture suitable

More information

Wide frequency range duty cycle correction circuit for DDR interface

Wide frequency range duty cycle correction circuit for DDR interface Wide frequency range duty cycle correction circuit for DDR interface Dongsuk Shin a), Soo-Won Kim, and Chulwoo Kim b) Dept. of Electronics and Computer Engineering, Korea University, Anam-dong, Seongbuk-Gu,

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS -3GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS Hyohyun Nam and Jung-Dong Park a Division of Electronics and Electrical Engineering, Dongguk University, Seoul E-mail

More information

An Active Efficiency Rectifier with Automatic Adjust of Transducer Capacitance in Energy Harvesting Systems

An Active Efficiency Rectifier with Automatic Adjust of Transducer Capacitance in Energy Harvesting Systems An Active Efficiency Rectifier with Automatic Adjust of Transducer Capacitance in Energy Harvesting Systems B.Swetha Salomy M.Tech (VLSI), Vaagdevi Institute of Technology and Science, Proddatur, Kadapa

More information

IN RECENT years, we have often seen three-dimensional

IN RECENT years, we have often seen three-dimensional 622 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 Design and Implementation of Real-Time 3-D Image Sensor With 640 480 Pixel Resolution Yusuke Oike, Student Member, IEEE, Makoto Ikeda,

More information

WITH the growth of data communication in internet, high

WITH the growth of data communication in internet, high 136 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 2, FEBRUARY 2008 A 0.18-m CMOS 1.25-Gbps Automatic-Gain-Control Amplifier I.-Hsin Wang, Student Member, IEEE, and Shen-Iuan

More information

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger International Journal of Scientific and Research Publications, Volume 5, Issue 2, February 2015 1 Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger Dr. A. Senthil Kumar *,I.Manju **,

More information

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 46, NO. 1, JANUARY

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 46, NO. 1, JANUARY IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 46, NO. 1, JANUARY 2011 353 A 3.9 mw 25-Electrode Reconfigured Sensor for Wearable Cardiac Monitoring System Long Yan, Student Member, IEEE, Joonsung Bae, Student

More information

ISSCC 2002 / SESSION 17 / ADVANCED RF TECHNIQUES / 17.2

ISSCC 2002 / SESSION 17 / ADVANCED RF TECHNIQUES / 17.2 ISSCC 2002 / SESSION 17 / ADVANCED RF TECHNIQUES / 17.2 17.2 A CMOS Differential Noise-Shifting Colpitts VCO Roberto Aparicio, Ali Hajimiri California Institute of Technology, Pasadena, CA Demand for higher

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.6, DECEMBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.6.825 ISSN(Online) 2233-4866 An All-digital Delay-locked Loop using

More information

Design of a Temperature-Compensated Crystal Oscillator Using the New Digital Trimming Method

Design of a Temperature-Compensated Crystal Oscillator Using the New Digital Trimming Method Journal of the Korean Physical Society, Vol. 37, No. 6, December 2000, pp. 822 827 Design of a Temperature-Compensated Crystal Oscillator Using the New Digital Trimming Method Minkyu Je, Kyungmi Lee, Joonho

More information

A New Capacitive Sensing Circuit using Modified Charge Transfer Scheme

A New Capacitive Sensing Circuit using Modified Charge Transfer Scheme 78 Hyeopgoo eo : A NEW CAPACITIVE CIRCUIT USING MODIFIED CHARGE TRANSFER SCHEME A New Capacitive Sensing Circuit using Modified Charge Transfer Scheme Hyeopgoo eo, Member, KIMICS Abstract This paper proposes

More information

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS Sang-Min Yoo, Jeffrey Walling, Eum Chan Woo, David Allstot University of Washington, Seattle, WA Submission Highlight A fully-integrated

More information

Linearization Method Using Variable Capacitance in Inter-Stage Matching Networks for CMOS Power Amplifier

Linearization Method Using Variable Capacitance in Inter-Stage Matching Networks for CMOS Power Amplifier Linearization Method Using Variable Capacitance in Inter-Stage Matching Networks for CMOS Power Amplifier Jaehyuk Yoon* (corresponding author) School of Electronic Engineering, College of Information Technology,

More information

LOW-POWER design is one of the most critical issues

LOW-POWER design is one of the most critical issues 176 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 2, FEBRUARY 2007 A Novel Low-Power Logic Circuit Design Scheme Janusz A. Starzyk, Senior Member, IEEE, and Haibo He, Member,

More information

Integrate-and-Fire Neuron Circuit and Synaptic Device using Floating Body MOSFET with Spike Timing- Dependent Plasticity

Integrate-and-Fire Neuron Circuit and Synaptic Device using Floating Body MOSFET with Spike Timing- Dependent Plasticity JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.6, DECEMBER, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.6.658 ISSN(Online) 2233-4866 Integrate-and-Fire Neuron Circuit

More information

UNEXPECTED through-silicon-via (TSV) defects may occur

UNEXPECTED through-silicon-via (TSV) defects may occur IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 10, OCTOBER 2017 1759 Grouping-Based TSV Test Architecture for Resistive Open and Bridge Defects in 3-D-ICs Young-woo

More information

VLSI Implementation of Auto-Correlation Architecture for Synchronization of MIMO-OFDM WLAN Systems

VLSI Implementation of Auto-Correlation Architecture for Synchronization of MIMO-OFDM WLAN Systems JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.10, NO.3, SEPTEMBER, 2010 185 VLSI Implementation of Auto-Correlation Architecture for Synchronization of MIMO-OFDM WLAN Systems Jongmin Cho*, Jinsang

More information

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application J Electr Eng Technol Vol. 9, No.?: 742-?, 2014 http://dx.doi.org/10.5370/jeet.2014.9.?.742 ISSN(Print) 1975-0102 ISSN(Online) 2093-7423 20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband

More information

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.4, DECEMBER, 2006 281 A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration Tae-Geun Yu, Seong-Ik Cho, and Hang-Geun Jeong

More information

ACTIVE phased-array antenna systems are receiving increased

ACTIVE phased-array antenna systems are receiving increased 294 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 1, JANUARY 2006 Ku-Band MMIC Phase Shifter Using a Parallel Resonator With 0.18-m CMOS Technology Dong-Woo Kang, Student Member, IEEE,

More information

A4.9mΩ-Sensitivity Mobile Electrical Impedance Tomography IC for Early Breast-Cancer Detection System

A4.9mΩ-Sensitivity Mobile Electrical Impedance Tomography IC for Early Breast-Cancer Detection System IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 50, NO. 1, JANUARY 2015 245 A4.9mΩ-Sensitivity Mobile Electrical Impedance Tomography IC for Early Breast-Cancer Detection System Sunjoo Hong, Student Member,

More information

RECENT MOBILE handsets for code-division multiple-access

RECENT MOBILE handsets for code-division multiple-access IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 4, APRIL 2007 633 The Doherty Power Amplifier With On-Chip Dynamic Bias Control Circuit for Handset Application Joongjin Nam and Bumman

More information