A CMOS Proteretic Bistable Device

Size: px
Start display at page:

Download "A CMOS Proteretic Bistable Device"

Transcription

1 A CMOS Proteretic Bistable Device by Apuroop kumar Reddy Anyam, Azeemuddin Syed in India Conference (INDICON), 2016 IEEE Annual. Report No: IIIT/TR/2016/-1 Centre for VLSI and Embeded Systems Technology International Institute of Information Technology Hyderabad , INDIA December 2016

2 A CMOS Proteretic Bistable Device Anyam Apuroop Kumar Reddy, Syed Azeemuddin Center for VLSI and Embedded Systems International Institute of Information Technology Hyderabad, India M.R. Sayeh Department of Electrical and Computer Engineering Southern Illinois University Carbondale Carbondale, IL 62901, United States Abstract CMOS Schmitt trigger is a widely used hysteretic device due to its noise immunity. However, hysteresis by itself incorporate a delay in the device. In order to eliminate the problem of delay and advance the transition, we propose a circuit which works on the principle of proteresis. It is similar to hysteresis but has reverse behavior. Theoretically, when compared to an hysteretic bistable device, a proteretic device has a swapped triggering voltages which leads to twofold speed without altering the ability of noise immunity. The simulation results demonstrate an improvement of approximately 140% in transition time delay when compared to the Schmitt trigger at the cost of area and could be further improved by proper sizing. By using UMC 180 nm CMOS technology, a 0.2 V width proteretic loop has been achieved which signifies the amount of noise immunity it possesses. Index Terms Schmitt trigger; Hysteresis; Proteresis; I. INTRODUCTION In digital electronics, conversion of an analog signal into a digital signal is a necessary role to be performed as most of the signal naturally exist in analog form. A CMOS inverter is a basic building block in comparator [1] which is commonly used in analog to digital converter (ADC). An inverter has a triggering voltage based on which input-output relations are defined. In general, when an input is above this voltage, the output is considered as high and similarly, low when it is below it. For a particular supply voltage and relative PMOS, NMOS sizes, the triggering voltage is fixed. Hence when noise appears at triggering point, then the output undergoes multiple transitions between high (V cc ) and low (0) leading to more power consumption. To overcome this, one can use circuits with two thresholds, one for rising edge and another for the falling edge. A commonly used circuit with two thresholds is a Schmitt trigger [2] and it works on the principle of hysteresis. Hysteresis is a greek origin word which means lag or behind and a circuit working on hysteresis means it has a delay in its response (in both directions). A CMOS schmitt trigger is a widely used hysteretic bistable circuit for its performance in noisy environment. Schmitt trigger has many applications in the construction of ADC [3], SRAMS [4], Imaging sensors [5] and ASK demodulates for Wireless transceivers [6] and retinal prosthetic device [7]. Variants of Schmitt trigger which can control its hysteresis with voltage [8] and current [9] are also used in frequency doubling [10] and retinal focal plain sensing [11] respectively /16/$ IEEE Fig. 1. CMOS Schmitt Trigger Circuit [2]. It has countless applications in basic electronics, imaging and medical. The immunity of Schmitt trigger is due to its two delayed distinct triggering voltages, due to which it is slower than an inverter in transition response and has to be eliminated. Alternatively, it is adding lag or hysteresis to the triggering voltage of CMOS inverter resulting in a delayed transition. The identified lag can be removed and could be rushed by replacing hysteresis nature of the circuit with anti-hysteresis or reverse-hysteresis behavior. The word proposed for reverse hysteresis is proteresis [12], proto in greek means before. The circuit showing proteresis can act as a transition accelerator circuit [13] and also an optical proteretic bistable device is used in high-speed oscillation applications [14]. In this paper, the proposed circuit is developed by adding an extra stage of 8 transistors to CMOS Schmitt trigger. II. CMOS SCHMITT TRIGGER CMOS Schmitt trigger as shown in Fig. 1 consist of 3 PMOS and 3 NMOS with two distinct triggering voltages, one for rising edge namely upper triggering voltage (V sh ) and

3 TABLE I HIGH IMPEDANCE O/P FOR LOGICALLY INVERTED INPUTS A AND B. IT ALSO SHOWS THE FASTEST POSSIBLE CASE FOR TRANSITION TO 0. A B Q10 Q9 Q7 Q8 O/p Vcc 0 On Off off On H.I 0 Vcc Off On On Off H.I Vth,n Vcc On On On Off 0 Fig. 2. Voltage Transfer Characteristics of CMOS Schmitt Trigger. another for falling edge, namely lower triggering voltage (V sl ) which helps in building noise immunity of the circuit. Fig. 2 shows the voltage transfer characteristics (VTC) of the Schmitt trigger. The voltage difference between the two triggering voltages is the amount of noise immunity it possesses. III. PROPOSED PROTERETIC CIRCUIT Fig. 3. An Addition Stage for Schmitt Trigger to work as a Proteretic Device. A. Working of additional stage The circuit as shown in Fig. 3 is an additional stage for CMOS Schmitt trigger for it to work as a proteretic device. One can examine this circuit by initially assuming the two inputs A and B to be logically opposite to each other. From the table shown in Table. I, it is clear that the output (i.e, C) will remain in high impedance state for the above two both the cases. Considering another case where one (A) of inputs is varying keeping another one (B) constant, which follows B to be at V cc andaat0. At this stage Q7, Q9 are turned on and Q8, Q10 are turned off, thus making the output C to be in high impedance state. By varying input A from 0 to V th,n (of Q10), turns on Q10 and shorts C to ground, thus making V th,n as an upper triggering point. Further increasing the input to V cc V th,p, turns off Q7. It completely isolates output C from V cc and 0, making it a high impedance state. Similar behavior is seen when input A is varied from V cc to 0. Similarly, considering a case where B is at 0 andaatv cc. At this stage Q7, Q9 are turned off and Q8, Q10 are turned on. Which made output C to be in the high impedance stage. By varying input A from V cc to V cc V th,p, it turns Q7 on and shorts C to V cc, thus turning V cc V th,p as a lower triggering point. Further decreasing the input to V th,n turns off Q10, making the output to be in high impedance state. Similar behavior is seen when input A is varied from 0 to V cc. B. Graphical Method for Constructing a Proteresis VTC Fig. 4 depicts the information presented above in graphical terms. Where G1 is a case considered when B is 0 and A varying between V cc and 0. G2 is a case considered when BisV cc and A is varying between 0 and V cc. G3 is a case considered when B is V cc for A varying from 0 to V cc and B as 0 for A varying from V cc to 0 thus, resulting in an anticlockwise loop. G4 is a case considered when B is 0 for A varying from 0 to V cc and B as V cc for A varying from V cc to 0 thus, resulting in a clockwise loop. For an inverting device, if the VTC has a clockwise loop then it possesses an hysteretic behavior and similarly anticlockwise loop for a proteretic behavior. G5 is VTC for a CMOS inverter. To remove the high impedance state one need to combine the G3 and G5 to get a proteresis curve. At the circuit level, this is done by shorting the outputs of the inverter and the circuit shown in fig 2. C. Complete Circuit with working conditions For the input B to be changed as above condition, B should be an inverting output of A and also have some bistability. Schmitt trigger is the best choice for it. The problem encountered while using Schmitt trigger is that it should have its

4 TABLE II SHOWS THE RESPONSE OF THE CIRCUIT OF FIG. 3FOR A RISING FORM 0 TO V cc WITH AN ASSUMPTION OF B AS SCHMITT TRIGGER OUTPUT OF A. A B C Q10 Q9 Q7 Q8 0 Vcc H.I Off On On Off Vth,n Vcc 0 On On On Off Vcc - Vth,p 0 0 On Off off On VSH 0 H.I On Off off On Vcc 0 0 On Off off On B is fixed initially to V cc and A is varying from 0 to V cc.if suppose V u (proposed circuit upper triggering voltage) is less than V cc V th,p, then a stage exists where all the transistors are turned on and creating a short path between the ground and V cc source. The table also shows how the high impedance state between 0 and V th, V sh and V cc can be easily removed by shorting it with inverter output. The additional inverter at the output is to isolate the circuit output from external connection as it has a lot of fan out. Another advantage of the inverter is that it help in reducing the transition time between V cc and 0, thus reducing the power wastage and resulting in sharp VTC as shown in Fig. 7. IV. RESULTS Fig. 4. Graphically way of building a proteresis Voltage Transfer characteristic(vtc) response with help of combining the possible VTC responses of circuit from Fig. 3 with CMOS inverter VTC. upper triggering point (V sh ) less than V dd V th,p, or else a short path between the ground and V cc source exist resulting in power wastage. Similar is the case when V sl >V th,n. (Where V sl is the lower triggering point of CMOS Schmitt trigger.) The Fig. 5 shows the complete proteretic circuit Fig. 6. Timing diagram of input sinusoidal with its hysteric (green) and proteretic (blue) outputs. It clearly shows that a proteretic response is faster than hysteric Fig. 5. Complete proteretic circuit with inverter buffer output. The Table. II shows the behavior of the circuit when input Fig. 6 shows the timing diagram of the Schmitt trigger and the proposed proteresis improvement to it along with the input sinusoidal signal. It shows that the upper triggering voltage is shifted from 1.1 V to 0.7 V and similarly the lower triggering voltage is shifted from 0.6 V to 0.9 V. Thus making this circuit approximately 140% faster in transition than the CMOS Schmitt trigger by taking inverter threshold as a reference. As mentioned earlier, the amount of noise immunity of a circuit is the difference in two triggering voltages ( V u V l ). Fig. 7 shows the VTC of the proteretic device. It has a noise

5 [12] P. Girard and J.-P. Boissel, Clockwise hysteresis or proteresis, Journal of pharmacokinetics and biopharmaceutics, vol. 17, no. 3, pp , [13] P. Duchene, M. Declercq, and S. Kang, Simple cmos transition accelerator circuit, Electronics letters, vol. 27, no. 4, pp , [14] N. Davoudzadeh, M. Tafazoli, and M. Sayeh, All-optical proteretic (reversed-hysteretic) bi-stable device, Optics Communications, vol. 331, pp , Fig. 7. Voltage transfer characteristics of Proteretic circuit immunity of 0.2 V and also could be improved with proper sizing of Q11 and Q12 transistors. V. CONCLUSIONS In this paper, we proposed a circuit which works on the principle of inverted hysteresis (proteresis). A proteretic circuit has decent noise immunity of 0.2 V with faster transition compared CMOS inverter. This circuit has an opposite effect compared with hysteretic device making it better in transition time along with noise immunity. REFERENCES [1] A. Tangel and K. Choi, the cmos inverter as a comparator in adc designs, Analog Integrated Circuits and Signal Processing, vol. 39, no. 2, pp , [2] I. Filanovsky and H. Baltes, Cmos schmitt trigger design, IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, vol. 41, no. 1, pp , [3] D. Wei, V. Garg, and J. G. Harris, An asynchronous delta-sigma converter implementation, in 2006 IEEE International Symposium on Circuits and Systems. IEEE, 2006, pp. 4 pp. [4] J. P. Kulkarni, K. Kim, and K. Roy, A 160 mv robust schmitt trigger based subthreshold sram, IEEE Journal of Solid-State Circuits, vol. 42, no. 10, pp , [5] D. Park, J. Rhee, and Y. Joo, Wide dynamic range and high snr selfreset cmos image sensor using a schmitt trigger, in Sensors, 2008 IEEE. IEEE, 2008, pp [6] B. Chi, J. Yao, S. Han, X. Xie, G. Li, and Z. Wang, A 2.4 ghz low power wireless transceiver analog front-end for endoscopy capsule system, Analog Integrated Circuits and Signal Processing, vol. 51, no. 2, pp , [7] W. Liu, K. Vichienchom, M. Clements, S. C. DeMarco, C. Hughes, E. McGucken, M. S. Humayun, E. De Juan, J. D. Weiland, and R. Greenberg, A neuro-stimulus chip with telemetry unit for retinal prosthetic device, IEEE Journal of Solid-State Circuits, vol. 35, no. 10, pp , [8] Z. Wang, Cmos adjustable schmitt triggers, IEEE Transactions on instrumentation and Measurement, vol. 40, no. 3, pp , [9] F. Yuan, Differential cmos schmitt trigger with tunable hysteresis, Analog Integrated Circuits and Signal Processing, vol. 62, no. 2, pp , [10] S. Seo, Y. Jeong, and J. S. Kenney, A modified cmos frequency doubler considering delay time matching condition, in Information Technology Convergence, ISITC International Symposium on. IEEE, 2007, pp [11] C.-Y. Wu and C.-T. Chiang, A low-photocurrent cmos retinal focalplane sensor with a pseudo-bjt smoothing network and an adaptive current schmitt trigger for scanner applications, IEEE Sensors Journal, vol. 4, no. 4, pp , 2004.

Low Power Schmitt Trigger

Low Power Schmitt Trigger Low Power Schmitt Trigger Swati Kundra *, Priyanka Soni Mody Institute of Technology & Science, Lakshmangarh-332311, India * E-mail of the corresponding author: swati.kundra87@gmail.com Abstract The Schmitt

More information

Fig 1: The symbol for a comparator

Fig 1: The symbol for a comparator INTRODUCTION A comparator is a device that compares two voltages or currents and switches its output to indicate which is larger. They are commonly used in devices such as They are commonly used in devices

More information

Design of a Low Voltage Schmitt Trigger in 0.18 m CMOS Process With Tunable Hysteresis

Design of a Low Voltage Schmitt Trigger in 0.18 m CMOS Process With Tunable Hysteresis Modern Applied Science; Vol. 7, No. 4; 2013 ISSN 1913-1844 E-ISSN 1913-1852 Published by Canadian Center of Science and Education Design of a Low Voltage Schmitt Trigger in 0.18 m CMOS Process With Tunable

More information

Designing Of A New Low Voltage CMOS Schmitt Trigger Circuit And Its Applications on Reduce Power Dissipation

Designing Of A New Low Voltage CMOS Schmitt Trigger Circuit And Its Applications on Reduce Power Dissipation IJISET - International Journal of Innovative Science, Engineering & Technology, Vol. Issue 1, December 015. www.ijiset.com ISSN 348 7968 Designing Of A New Low Voltage CMOS Schmitt Trigger Circuit And

More information

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger International Journal of Scientific and Research Publications, Volume 5, Issue 2, February 2015 1 Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger Dr. A. Senthil Kumar *,I.Manju **,

More information

New Schmitt Trigger with Controllable Hysteresis using Dual Control Gate-Floating Gate Transistor (DCG-FGT)

New Schmitt Trigger with Controllable Hysteresis using Dual Control Gate-Floating Gate Transistor (DCG-FGT) International Journal of Reconfigurable and Embedded Systems (IJRES) Vol. 2, No. 1, March 2013, pp. 49~54 ISSN: 2089-4864 49 New Schmitt Trigger with Controllable Hysteresis using Dual Control Gate-Floating

More information

I. INTRODUCTION. It has two stable states and by applying external input we can. enhance the immunity of a circuit to noise and disturbances.

I. INTRODUCTION. It has two stable states and by applying external input we can. enhance the immunity of a circuit to noise and disturbances. Design of CMOS Schmitt Trigger Sonawane sarika Ramesh Dr.S.T. Gandhe Prof. G.M. Phade Prof.P.A.dhulekark It has two stable states and by applying external input we can Abstract Portable electronic devices

More information

Comparitvie Analysis and Proposed Schmitt Trigger Design using Different CMOS Foundries

Comparitvie Analysis and Proposed Schmitt Trigger Design using Different CMOS Foundries International Journal of Control Theory and Applications ISSN : 0974-5572 International Science Press Volume 9 Number 46 2016 Comparitvie Analysis and Proposed Schmitt Trigger Design using Different CMOS

More information

Analog I/O. ECE 153B Sensor & Peripheral Interface Design Winter 2016

Analog I/O. ECE 153B Sensor & Peripheral Interface Design Winter 2016 Analog I/O ECE 153B Sensor & Peripheral Interface Design Introduction Anytime we need to monitor or control analog signals with a digital system, we require analogto-digital (ADC) and digital-to-analog

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS Technology

An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS Technology IJIRST International Journal for Innovative Research in Science & Technology Volume 2 Issue 10 March 2016 ISSN (online): 2349-6010 An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS

More information

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 5: Basic CMOS Inverter Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY Neha Bakawale Departmentof Electronics & Instrumentation Engineering, Shri G. S. Institute of

More information

SCHMITT TRIGGER. Typical ``real world'' signals consist of a superposition of a ``noise'' signal and a

SCHMITT TRIGGER. Typical ``real world'' signals consist of a superposition of a ``noise'' signal and a SCHMITT TRIGGER Typical ``real world'' signals consist of a superposition of a ``noise'' signal and a signal or signals of interest. For example, the signal at the bottom of Figure 19 shows a superposition

More information

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits Lec Sequential CMOS Logic Circuits Sequential Logic In Combinational Logic circuit Out Memory Sequential The output is determined by Current inputs Previous inputs Output = f(in, Previous In) The regenerative

More information

Design and Analysis of High Gain Differential Amplifier Using Various Topologies

Design and Analysis of High Gain Differential Amplifier Using Various Topologies Design and Analysis of High Gain Amplifier Using Various Topologies SAMARLA.SHILPA 1, J SRILATHA 2 1Assistant Professor, Dept of Electronics and Communication Engineering, NNRG, Ghatkesar, Hyderabad, India.

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 1.1 Historical Background Recent advances in Very Large Scale Integration (VLSI) technologies have made possible the realization of complete systems on a single chip. Since complete

More information

Analysis and Design of High Speed Low Power Comparator in ADC

Analysis and Design of High Speed Low Power Comparator in ADC Analysis and Design of High Speed Low Power Comparator in ADC 1 Abhishek Rai, 2 B Ananda Venkatesan 1 M.Tech Scholar, 2 Assistant professor Dept. of ECE, SRM University, Chennai 1 Abhishekfan1791@gmail.com,

More information

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 380 391 A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator Seok KIM 1, Seung-Taek YOO 1,2,

More information

INTERNATIONAL JOURNAL OF ELECTRICAL ENGINEERING & TECHNOLOGY (IJEET)

INTERNATIONAL JOURNAL OF ELECTRICAL ENGINEERING & TECHNOLOGY (IJEET) INTERNATIONAL JOURNAL OF ELECTRICAL ENGINEERING & TECHNOLOGY (IJEET) International Journal of Electrical Engineering and Technology (IJEET), ISSN 0976 6545(Print), ISSN 0976 6545(Print) ISSN 0976 6553(Online)

More information

Analysis and Design of High Speed Low Power Comparator in ADC

Analysis and Design of High Speed Low Power Comparator in ADC Analysis and Design of High Speed Low Power Comparator in ADC Yogesh Kumar M. Tech DCRUST (Sonipat) ABSTRACT: The fast growing electronics industry is pushing towards high speed low power analog to digital

More information

Evaluation of low power Schmitt Trigger for communication system

Evaluation of low power Schmitt Trigger for communication system Evaluation of low power Schmitt Trigger for communication system Annu Khurana 1, Anshul Saxena 2, Neeraj Jain 3 1 Research Scholar, ECED, MITRC College, Alwar, India 2 Assistant Professor, ECED, MITRC

More information

A Design of Sigma-Delta ADC Using OTA

A Design of Sigma-Delta ADC Using OTA RESEARCH ARTICLE OPEN ACCESS A Design of Sigma-Delta ADC Using OTA Miss. Niveditha Yadav M 1, Mr. Yaseen Basha 2, Dr. Venkatesh kumar H 3 1 Department of ECE, PG Student, NCET/VTU, and Bengaluru, India

More information

A REVIEW ON 4 BIT FLASH ANALOG TO DIGITAL CONVERTOR

A REVIEW ON 4 BIT FLASH ANALOG TO DIGITAL CONVERTOR RESEARCH ARTICLE OPEN ACCESS A REVIEW ON 4 BIT FLASH ANALOG TO DIGITAL CONVERTOR Vijay V. Chakole 1, Prof. S. R. Vaidya 2, Prof. M. N. Thakre 3 1 MTech Scholar, S. D. College of Engineering, Selukate,

More information

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects International Journal of Scientific and Research Publications, Volume 3, Issue 9, September 2013 1 A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip

More information

Design of 4-bit Flash Analog to Digital Converter using CMOS Comparator in Tanner Tool

Design of 4-bit Flash Analog to Digital Converter using CMOS Comparator in Tanner Tool 70 Design of 4-bit Flash Analog to Digital Converter using CMOS Comparator in Tanner Tool Nupur S. Kakde Dept. of Electronics Engineering G.H.Raisoni College of Engineering Nagpur, India Amol Y. Deshmukh

More information

SUBTHRESHOLD CIRCUIT DESIGN FOR HIGH PERFORMANCE

SUBTHRESHOLD CIRCUIT DESIGN FOR HIGH PERFORMANCE SUBTHRESHOLD CIRCUIT DESIGN FOR HIGH PERFORMANCE K. VIKRANTH REDDY 1, M. MURALI KRISHNA 2, K. LAL KISHORE 3 1 M.Tech. Student, Department of ECE, GITAM University, Visakhapatnam, INDIA 2 Assistant Professor,

More information

CMOS Digital Integrated Circuits Analysis and Design

CMOS Digital Integrated Circuits Analysis and Design CMOS Digital Integrated Circuits Analysis and Design Chapter 8 Sequential MOS Logic Circuits 1 Introduction Combinational logic circuit Lack the capability of storing any previous events Non-regenerative

More information

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Shaik. Yezazul Nishath School Of Electronics Engineering (SENSE) VIT University Chennai, India Abstract This paper outlines

More information

HIGH LOW Astable multivibrators HIGH LOW 1:1

HIGH LOW Astable multivibrators HIGH LOW 1:1 1. Multivibrators A multivibrator circuit oscillates between a HIGH state and a LOW state producing a continuous output. Astable multivibrators generally have an even 50% duty cycle, that is that 50% of

More information

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.5.577 ISSN(Online) 2233-4866 Low and High Performance Level-up Shifters

More information

ISSN:

ISSN: 343 Comparison of different design techniques of XOR & AND gate using EDA simulation tool RAZIA SULTANA 1, * JAGANNATH SAMANTA 1 M.TECH-STUDENT, ECE, Haldia Institute of Technology, Haldia, INDIA ECE,

More information

A gate sizing and transistor fingering strategy for

A gate sizing and transistor fingering strategy for LETTER IEICE Electronics Express, Vol.9, No.19, 1550 1555 A gate sizing and transistor fingering strategy for subthreshold CMOS circuits Morteza Nabavi a) and Maitham Shams b) Department of Electronics,

More information

1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications

1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications 1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications Ashish Raman and R. K. Sarin Abstract The monograph analysis a low power voltage controlled ring oscillator, implement using

More information

Comparator Design for Delta Sigma Modulator

Comparator Design for Delta Sigma Modulator International Conference on Emerging Trends in and Applied Sciences (ICETTAS 2015) Comparator Design for Delta Sigma Modulator Pinka Abraham PG Scholar Dept.of ECE College of Engineering Munnar Jayakrishnan

More information

Advances In Natural And Applied Sciences Homepage: October; 12(10): pages 1-7 DOI: /anas

Advances In Natural And Applied Sciences Homepage: October; 12(10): pages 1-7 DOI: /anas Advances In Natural And Applied Sciences Homepage: http://www.aensiweb.com/anas/ 2018 October; 12(10): pages 1-7 DOI: 10.22587/anas.2018.12.10.1 Research Article AENSI Publications Design of CMOS Architecture

More information

nd International Conference on VLSI Design

nd International Conference on VLSI Design 29 22nd International Conference on VLI Design Design of a Low Power, Variable-Resolution Flash ADC reehari Veeramachanen, A. Mahesh Kumar, Venkat Tummala*,M.B. rinivas Centre for VLI and Embedded ystem

More information

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 3, MARCH 2011 149 Built-in Self-Calibration Circuit for Monotonic Digitally Controlled Oscillator Design in 65-nm CMOS Technology

More information

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 77-81 International Research Publication House http://www.irphouse.com Noise Tolerance Dynamic CMOS Logic

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Kyung Ki Kim a) and Yong-Bin Kim b) Department of Electrical and Computer Engineering, Northeastern University, Boston, MA

More information

6-Bit Charge Scaling DAC and SAR ADC

6-Bit Charge Scaling DAC and SAR ADC 6-Bit Charge Scaling DAC and SAR ADC Meghana Kulkarni 1, Muttappa Shingadi 2, G.H. Kulkarni 3 Associate Professor, Department of PG Studies, VLSI Design and Embedded Systems, VTU, Belgavi, India 1. M.Tech.

More information

Chapter 13: Comparators

Chapter 13: Comparators Chapter 13: Comparators So far, we have used op amps in their normal, linear mode, where they follow the op amp Golden Rules (no input current to either input, no voltage difference between the inputs).

More information

Design and Analysis of Double Gate MOSFET Operational Amplifier in 45nm CMOS Technology

Design and Analysis of Double Gate MOSFET Operational Amplifier in 45nm CMOS Technology IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 12 June 2016 ISSN (online): 2349-784X Design and Analysis of Double Gate MOSFET Operational Amplifier in 45nm CMOS Technology

More information

A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS

A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS Shruti Gatade 1, M. Nagabhushan 2, Manjunath.R 3 1,3 Student, Department of ECE, M S Ramaiah Institute of Technology, Bangalore (India) 2 Assistant

More information

IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 02, 2016 ISSN (online):

IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 02, 2016 ISSN (online): IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 02, 2016 ISSN (online): 2321-0613 Design & Analysis of CMOS Telescopic Operational Transconductance Amplifier (OTA) with

More information

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits Circuits and Systems, 2015, 6, 60-69 Published Online March 2015 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2015.63007 Design of Ultra-Low Power PMOS and NMOS for Nano Scale

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

ISSN:

ISSN: 1391 DESIGN OF 9 BIT SAR ADC USING HIGH SPEED AND HIGH RESOLUTION OPEN LOOP CMOS COMPARATOR IN 180NM TECHNOLOGY WITH R-2R DAC TOPOLOGY AKHIL A 1, SUNIL JACOB 2 1 M.Tech Student, 2 Associate Professor,

More information

A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement and Noise Cancellation

A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement and Noise Cancellation 2017 International Conference on Electronic, Control, Automation and Mechanical Engineering (ECAME 2017) ISBN: 978-1-60595-523-0 A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

Cmos Full Adder and Multiplexer Based Encoder for Low Resolution Flash Adc

Cmos Full Adder and Multiplexer Based Encoder for Low Resolution Flash Adc IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 2, Ver. II (Mar.-Apr. 2017), PP 20-27 www.iosrjournals.org Cmos Full Adder and

More information

TIQ Based Analog to Digital Converters and Power Reduction Principles

TIQ Based Analog to Digital Converters and Power Reduction Principles JOINT ADVANCED STUDENT SCHOOL 2011, MOSCOW TIQ Based Analog to Digital Converters and Power eduction Principles Final eport by Vahe Arakelyan 2nd year Master Student Synopsys Armenia Educational Department,

More information

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute.  From state elements ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: April 2, 2019 Sequential Logic, Timing Hazards and Dynamic Logic Lecture Outline! Sequential Logic! Timing Hazards! Dynamic Logic 4 Sequential

More information

CMOS VLSI Design (A3425)

CMOS VLSI Design (A3425) CMOS VLSI Design (A3425) Unit III Static Logic Gates Introduction A static logic gate is one that has a well defined output once the inputs are stabilized and the switching transients have decayed away.

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

Optimization of power in different circuits using MTCMOS Technique

Optimization of power in different circuits using MTCMOS Technique Optimization of power in different circuits using MTCMOS Technique 1 G.Raghu Nandan Reddy, 2 T.V. Ananthalakshmi Department of ECE, SRM University Chennai. 1 Raghunandhan424@gmail.com, 2 ananthalakshmi.tv@ktr.srmuniv.ac.in

More information

Design of Single Phase Continuous Clock Signal Set D-FF for Ultra Low Power VLSI Applications

Design of Single Phase Continuous Clock Signal Set D-FF for Ultra Low Power VLSI Applications Design of Single Phase Continuous Clock Signal Set D-FF for Ultra Low Power VLSI Applications K. Kavitha MTech VLSI Design Department of ECE Narsimha Reddy Engineering College JNTU, Hyderabad, INDIA K.

More information

Quadrature Generation Techniques in CMOS Relaxation Oscillators. S. Aniruddhan Indian Institute of Technology Madras Chennai, India

Quadrature Generation Techniques in CMOS Relaxation Oscillators. S. Aniruddhan Indian Institute of Technology Madras Chennai, India Quadrature Generation Techniques in CMOS Relaxation Oscillators S. Aniruddhan Indian Institute of Technology Madras Chennai, India Outline Introduction & Motivation Quadrature Relaxation Oscillators (QRXO)

More information

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 03, 2015 ISSN (online): 2321-0613 Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni

More information

Design Of A Comparator For Pipelined A/D Converter

Design Of A Comparator For Pipelined A/D Converter Design Of A Comparator For Pipelined A/D Converter Ms. Supriya Ganvir, Mr. Sheetesh Sad ABSTRACT`- This project reveals the design of a comparator for pipeline ADC. These comparator is designed using preamplifier

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

DESIGN, IMPLEMENTATION AND ANALYSIS OF FLASH ADC ARCHITECTURE WITH DIFFERENTIAL AMPLIFIER AS COMPARATOR USING CUSTOM DESIGN APPROACH

DESIGN, IMPLEMENTATION AND ANALYSIS OF FLASH ADC ARCHITECTURE WITH DIFFERENTIAL AMPLIFIER AS COMPARATOR USING CUSTOM DESIGN APPROACH DESIGN, IMPLEMENTATION AND ANALYSIS OF FLASH ADC ARCHITECTURE WITH DIFFERENTIAL AMPLIFIER AS COMPARATOR USING CUSTOM DESIGN APPROACH 1 CHANNAKKA LAKKANNAVAR, 2 SHRIKANTH K. SHIRAKOL, 3 KALMESHWAR N. HOSUR

More information

REDUCING power consumption and enhancing energy

REDUCING power consumption and enhancing energy 548 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 6, JUNE 2016 A Low-Voltage PLL With a Supply-Noise Compensated Feedforward Ring VCO Sung-Geun Kim, Jinsoo Rhim, Student Member,

More information

Analog Electronic Circuits Lab-manual

Analog Electronic Circuits Lab-manual 2014 Analog Electronic Circuits Lab-manual Prof. Dr Tahir Izhar University of Engineering & Technology LAHORE 1/09/2014 Contents Experiment-1:...4 Learning to use the multimeter for checking and indentifying

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

Design of CMOS Based PLC Receiver

Design of CMOS Based PLC Receiver Available online at: http://www.ijmtst.com/vol3issue10.html International Journal for Modern Trends in Science and Technology ISSN: 2455-3778 :: Volume: 03, Issue No: 10, October 2017 Design of CMOS Based

More information

ISSN: [Kumar* et al., 6(5): May, 2017] Impact Factor: 4.116

ISSN: [Kumar* et al., 6(5): May, 2017] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IMPROVEMENT IN NOISE AND DELAY IN DOMINO CMOS LOGIC CIRCUIT Ankit Kumar*, Dr. A.K. Gautam * Student, M.Tech. (ECE), S.D. College

More information

THE QUANTIZED DIFFERENTIAL COMPARATOR IN FLASH ANALOG TO DIGITAL CONVERTER DESIGN

THE QUANTIZED DIFFERENTIAL COMPARATOR IN FLASH ANALOG TO DIGITAL CONVERTER DESIGN THE QUANTIZED DIFFERENTIAL COMPARATOR IN FLASH ANALOG TO DIGITAL CONVERTER DESIGN Meghana Kulkarni 1, V. Sridhar 2, G.H.Kulkarni 3 1 Asst.Prof., E & C Dept, Gogte Institute of Technology, Bgm, Karnataka,

More information

International Journal of ChemTech Research CODEN (USA): IJCRGG ISSN: Vol.7, No.2, pp ,

International Journal of ChemTech Research CODEN (USA): IJCRGG ISSN: Vol.7, No.2, pp , International Journal of ChemTech Research CODEN (USA): IJCRGG ISSN: 974-429 Vol.7, No.2, pp 85-857, 24-25 ICONN 25 [4 th -6 th Feb 25] International Conference on Nanoscience and Nanotechnology-25 SRM

More information

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. Abstract This paper presents a novel SRAM design for nanoscale CMOS. The new design addresses

More information

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER Sandeep kumar 1, Charanjeet Singh 2 1,2 ECE Department, DCRUST Murthal, Haryana Abstract Performance of sense amplifier has considerable impact on the speed

More information

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR Yang-Shyung Shyu * and Jiin-Chuan Wu Dept. of Electronics Engineering, National Chiao-Tung University 1001 Ta-Hsueh Road, Hsin-Chu, 300, Taiwan * E-mail:

More information

B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics

B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics Sr. No. Date TITLE To From Marks Sign 1 To verify the application of op-amp as an Inverting Amplifier 2 To

More information

Performance Improvement of Delta Sigma Modulator for Wide-Band Continuous-Time Applications

Performance Improvement of Delta Sigma Modulator for Wide-Band Continuous-Time Applications International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Performance Improvement of Delta Sigma Modulator for Wide-Band Continuous-Time Applications Parvathy Unnikrishnan 1, Siva Kumari

More information

Voltage Controlled Ring Oscillator Design with Novel 3 Transistors XNOR/XOR Gates

Voltage Controlled Ring Oscillator Design with Novel 3 Transistors XNOR/XOR Gates Circuits and Systems, 2011, 2, 190-195 doi:10.4236/cs.2011.23027 Published Online July 2011 (http://www.scirp.org/journal/cs) Voltage Controlled Ring Oscillator Design with Novel 3 Transistors XNOR/XOR

More information

ISSN: X Impact factor: 4.295

ISSN: X Impact factor: 4.295 ISSN: 2454-132X Impact factor: 4.295 (Volume2, Issue6) Available online at: www.ijariit.com An Approach for Reduction in Power Consumption in Low Voltage Dropout Regulator Shivani.S. Tantarpale 1 Ms. Archana

More information

Design of Gain Enhanced and Power Efficient Op- Amp for ADC/DAC and Medical Applications

Design of Gain Enhanced and Power Efficient Op- Amp for ADC/DAC and Medical Applications Indian Journal of Science and Technology, Vol 9(29), DOI: 10.17485/ijst/2016/v9i29/90885, August 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Design of Gain Enhanced and Power Efficient Op-

More information

DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1

DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1 DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1 PG student, VLSI and Embedded systems, 2,3 Assistant professor of ECE Dept.

More information

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS Sang-Min Yoo, Jeffrey Walling, Eum Chan Woo, David Allstot University of Washington, Seattle, WA Submission Highlight A fully-integrated

More information

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier Chapter 5 Operational Amplifiers and Source Followers 5.1 Operational Amplifier In single ended operation the output is measured with respect to a fixed potential, usually ground, whereas in double-ended

More information

Design a Low Power CNTFET-Based Full Adder Using Majority Not Function

Design a Low Power CNTFET-Based Full Adder Using Majority Not Function Design a Low Power CNTFET-Based Full Adder Using Majority Not Function Seyedehsomayeh Hatefinasab * Department of Electrical and Computer Engineering, Payame Noor University, Sari, Iran. *Corresponding

More information

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP 1 B. Praveen Kumar, 2 G.Rajarajeshwari, 3 J.Anu Infancia 1, 2, 3 PG students / ECE, SNS College of Technology, Coimbatore, (India)

More information

Applying Analog Techniques in Digital CMOS Buffers to Improve Speed and Noise Immunity

Applying Analog Techniques in Digital CMOS Buffers to Improve Speed and Noise Immunity C Analog Integrated Circuits and Signal Processing, 27, 275 279, 2001 2001 Kluwer Academic Publishers. Manufactured in The Netherlands. Applying Analog Techniques in Digital CMOS Buffers to Improve Speed

More information

Design of Low Power Double Tail Comparator by Adding Switching Transistors

Design of Low Power Double Tail Comparator by Adding Switching Transistors Design of Low Power Double Tail Comparator by Adding Switching Transistors K.Mathumathi (1), S.Selvarasu (2), T.Kowsalya (3) [1] PG Scholar[VLSI, Muthayammal Engineering College, Rasipuram, Namakkal, Tamilnadu,

More information

INTERNATIONAL JOURNAL OF COMPUTER ENGINEERING & TECHNOLOGY (IJCET)

INTERNATIONAL JOURNAL OF COMPUTER ENGINEERING & TECHNOLOGY (IJCET) INTERNATIONAL JOURNAL OF COMPUTER ENGINEERING & TECHNOLOGY (IJCET) International Journal of Computer Engineering and Technology (IJCET), ISSN 0976 6367(Print), ISSN 0976 6367(Print) ISSN 0976 6375(Online)

More information

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF HIGH RELIABLE 6T SRAM CELL V.Vivekanand*, P.Aditya, P.Pavan Kumar * Electronics and Communication

More information

A Literature Survey on Low PDP Adder Circuits

A Literature Survey on Low PDP Adder Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 12, December 2015,

More information

Design Of Low Power Cmos High Performance True Single Phase Clock Dual Modulus Prescaler

Design Of Low Power Cmos High Performance True Single Phase Clock Dual Modulus Prescaler RESEARCH ARTICLE OPEN ACCESS Design Of Low Power Cmos High Performance True Single Phase Clock Dual Modulus Prescaler Ramesh.K 1, E.Velmurugan 2, G.Sadiq Basha 3 1 Department of Electronics and Communication

More information

BIOLOGICAL and environmental real-time monitoring

BIOLOGICAL and environmental real-time monitoring 290 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 4, APRIL 2010 An Energy-Efficient Subthreshold Level Converter in 130-nm CMOS Stuart N. Wooters, Student Member, IEEE, Benton

More information

510 IEEE SENSORS JOURNAL, VOL. 4, NO. 4, AUGUST 2004

510 IEEE SENSORS JOURNAL, VOL. 4, NO. 4, AUGUST 2004 510 IEEE SENSORS JOURNAL, VOL. 4, NO. 4, AUGUST 2004 A Low-Photocurrent CMOS Retinal Focal-Plane Sensor With a Pseudo-BJT Smoothing Network and an Adaptive Current Schmitt Trigger for Scanner Applications

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

Figure 1 Typical block diagram of a high speed voltage comparator.

Figure 1 Typical block diagram of a high speed voltage comparator. IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 6, Ver. I (Nov. - Dec. 2016), PP 58-63 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design of Low Power Efficient

More information

Design of Operational Amplifier in 45nm Technology

Design of Operational Amplifier in 45nm Technology Design of Operational Amplifier in 45nm Technology Aman Kaushik ME Scholar Dept. of E&CE, NITTTR Chandigarh Abstract-This paper presents the designing and performance analysis of Operational Transconductance

More information

A Robust Oscillator for Embedded System without External Crystal

A Robust Oscillator for Embedded System without External Crystal Appl. Math. Inf. Sci. 9, No. 1L, 73-80 (2015) 73 Applied Mathematics & Information Sciences An International Journal http://dx.doi.org/10.12785/amis/091l09 A Robust Oscillator for Embedded System without

More information

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders 12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders Mr.Devanaboina Ramu, M.tech Dept. of Electronics and Communication Engineering Sri Vasavi Institute of

More information

International Journal of Electronics and Communication Engineering & Technology (IJECET), INTERNATIONAL JOURNAL OF ELECTRONICS AND

International Journal of Electronics and Communication Engineering & Technology (IJECET), INTERNATIONAL JOURNAL OF ELECTRONICS AND INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) ISSN 0976 6464(Print) ISSN 0976 6472(Online) Volume 4, Issue 3, May June, 2013, pp. 24-32 IAEME: www.iaeme.com/ijecet.asp

More information

Design of Low Power Wake-up Receiver for Wireless Sensor Network

Design of Low Power Wake-up Receiver for Wireless Sensor Network Design of Low Power Wake-up Receiver for Wireless Sensor Network Nikita Patel Dept. of ECE Mody University of Sci. & Tech. Lakshmangarh (Rajasthan), India Satyajit Anand Dept. of ECE Mody University of

More information