Evaluating the Effectiveness of Physically-Aware N-Detect Test using Real Silicon

Size: px
Start display at page:

Download "Evaluating the Effectiveness of Physically-Aware N-Detect Test using Real Silicon"

Transcription

1 2008 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising or promotional purposes, creating new collective works, for resale or redistribution to servers or lists, or reuse of any copyrighted component of this work in other works. Evaluating the Effectiveness of Physically-Aware N-Detect Test using Real Silicon Yen-Tzu Lin, Osei Poku, R. D. (Shawn) Blanton, Phil Nigh, Peter Lloyd and Vikram Iyengar Department of ECE, Carnegie Mellon University, Pittsburgh PA IBM Systems & Technology Group, Essex Junction, VT Abstract Physically-aware N-detect attempts to improve the detection characteristics of traditional N-detect by exploiting the localized characteristics of defects. Specifically, in addition to detecting each fault N times, we also require that the physical neighborhood surrounding the target change state as well. In this work, the effectiveness of the physically-aware metric is examined using two approaches. First, tester responses from an in-production IBM chip are analyzed to compare the physically-aware N- detect test with other traditional tests that include stuck-at, IDDQ, logic BIST, and delay tests. Second, diagnostic results from LSI chip failures are utilized to directly compare the traditional and physically-aware N-detect metrics. Results from both experiments demonstrate the effectiveness of physically-aware N-detect test in detecting defects in modern industrial designs. 1. Introduction The stuck-at fault model [1] has been widely used as the basis of test generation and evaluation because of its low cost and low complexity. It is well-known however that the stuck-at fault model alone is not sufficient to detect defects encountered in advanced manufacturing processes. N-detect test, which requires every stuck-at fault be detected by at least N different patterns, has been used to improve defect coverage without significantly increasing test development cost [2 16]. Physically-aware N-detect test hopes to further improve the quality achieved by N-detect by considering layout information [12, 17, 18]. In [18], a physically-aware test selection (PATS) approach This work is supported by the National Science Foundation under award no. CCF and the Semiconductor Research Corporation under contract no was described for generating a compact, physically-aware N-detect test set. There it was shown that PATS can be used to create a test set that is more effective than a traditional N-detect test set in exercising physical neighborhoods that surround targeted lines without increasing test-execution costs. The work here is focused on evaluating the effectiveness of the physically-aware N-detect metric for defect detection with respect to other test approaches using tester responses from real silicon. Specifically, we conduct two experiments. In the first experiment, PATS is applied to an in-production ASIC from IBM to create a test set that maximizes the physically-aware metric under a test-set size constraint of 5,000 patterns. This test set, along with other traditional tests that include stuck-at, IDDQ, and delay test, are applied to nearly 100,000 (99,718) chips in a stop-on-first-fail test environment. Chips that fail any of the PATS tests are then re-tested. Results from this coarse-grain experiment are analyzed and conclusions are drawn. In a second, fine-grained experiment, real chip failures from LSI are diagnosed in order to directly compare the test of sites believed to be the location(s) of failure. Through this analysis, we directly compare the traditional and physically-aware N-detect coverage of individual, failing sites. The details of test-set creation for the IBM chip and the two experiments are provided in the remaining sections of this paper. Specifically, Section 2 provides a brief overview of PATS and describes the challenges of applying it to a modern-day chip. Section 3 describes the test flow applied to the IBM and LSI chips, while Section 4 gives the details of the two experiments involving these chips. Finally, in Section 5, we draw conclusions and cite directions for follow-on research. Paper 21.3 INTERNATIONAL TEST CONFERENCE /08/$20.00 c 2008 IEEE

2 necessarily have the same neighborhoods. Hence, it is necessary to consider the neighborhood for each uncollapsed fault separately. For an industrial design, the size of the fault list can therefore be quite significant. To reduce fault simulation and test selection time, the faults listed below are not explicitly considered. Figure 1. PATS procedure. 2. Physically-Aware Test Methodology To further improve the quality of N-detect test, a physically-aware metric has been proposed to guide the test generation process [17]. The metric defines the neighborhood of the targeted line as all the physical nets that are within some specified, physical distance from the net that corresponds to the target. The set of logic values established on the neighborhood lines by a test pattern that sensitizes the target is defined as the neighborhood state. For traditional N-detect, the objective is to ensure every stuck-at fault is detected N d times. For physically-aware N-detect, the objective is to detect every stuck-at fault N s times, where each detection is associated with a unique neighborhood state 1. PATS utilizes the physically-aware metric to generate a compact test set by greedily selecting the most effective tests from a (preferably large) test pool. (See Figure 1.) PATS requires four inputs, namely, (1) a logic-level circuit description, (2) a list of all the uncollapsed stuck-at faults, (3) the neighborhood information for each signal line, and (4) a large M-detect test set T M (M N s ). T M is simulated to extract the list of neighborhood states established by T M for each stuck-at fault f i. With the neighborhood state information, tests in T M are weighted based on their ability to detect faults with various neighborhood states. PATS greedily selects heavily-weighted tests from T M until the test-set size reaches a user-provided limit. To handle large industrial designs with millions of gates, several techniques are developed. The following subsections describe these techniques in detail Fault List Management Initially, the fault list includes all uncollapsed stuck-at faults. An uncollapsed fault list is used since the physical nets corresponding to a set of equivalent faults do not 1 N d and N s will be used in place of N when it is necessary to distinguish between the number of detections (N d ) and the number of detections with unique neighborhood states (N s). 1. Faults involving clock signals: Faults affecting clocks are likely to result in failures that are easy to detect, and thus do not require explicit attention. 2. Faults detected by tests for the scan chain: These faults affect the scan paths and will alter the logic values on the fault sites in every scan-in/scan-out operation. Therefore, faults detected by tests aimed at the scan chain are relatively easy to detect and can also be ignored. 3. Faults involving global lines: Here global lines are defined to be signal lines that have a large fanout. In this work, lines with fanout greater than eight are deemed global. A line with a large fanout is likely to have a strong drive capability, and therefore is less likely to be controlled by its neighborhood. For example, if a bridge defect affects a global line and its neighbor, the defect is likely detected when the fault involving the neighbor is sensitized. For a global line affected by an open, it is likely that one of the many floating fanout lines will be detected. Consequently, global lines are excluded from consideration Test Pool Pruning For a design with scan, the neighborhood state of a targeted line should be extracted after the scan-in operation and before the capture-clock pulse. Determining fault detection when multiple capture clocks [15, 19, 20] are used is difficult and makes neighborhood state extraction more complex. Sequential fault simulation over multiple clock cycles would be required to extract states of the detected faults, a process that would increase analysis time tremendously. We instead choose to ignore test patterns with these characteristics since the percentage of faults uniquely detected by these complex patterns are very small (< 0.01%) Neighborhood Management The neighborhood of a targeted line includes physical neighbors (i.e., signal lines that are within radius r of the targeted line). However, it is often true that inputs of the gate that drives the targeted line (driver-gate inputs) and side inputs of the gates that receive the targeted line (receiver-side inputs) are naturally included in the neighborhood as well due to their close proximity. For an Paper 21.3 INTERNATIONAL TEST CONFERENCE 2

3 N-detect test set, the N detections of a stuck-at fault may have different justification and propagation paths for the fault (depending on the N-detect ATPG algorithm). Including both driver-gate and receiver-side inputs enables PATS to explore a richer set of defect activation and propagation conditions. Consequently, driver-gate and receiver-side inputs are explicitly included in a neighborhood as was done in [21]. For some neighborhoods, including all the physical neighbors, driver-gate inputs, and receiver-side inputs may significantly increase the overall number of neighbors. To keep the neighborhood size tractable for test selection, we construct neighborhoods based on the following rules: 1. Include up to 16 physical neighbors with maximum adjacency values. Some neighbors are more likely to be problematic than others. Specifically, one neighbor may have long parallel runs next to the targeted line while another only enters the neighborhood with a small footprint. The layout information utilized in this work contains a measure (called the adjacency value) of the physical proximity of two wires. We order physical neighbors according to their adjacency values, and consider up to 16 neighbors with the greatest adjacency values. 2. Include all the driver-gate inputs. Since a single cell (gate) drives a targeted line, the number of drivergate inputs to be included in a neighborhood is usually small. Therefore driver-gate inputs are always included in the neighborhoods. 3. Include receiver-side inputs only if the target is not a global line. Excluding global lines also has the effect of constraining the number of receiver-side inputs. Therefore all receiver-side inputs are included in the neighborhoods Top-off Test Selection In order to maximize defect detection during slow, structural scan-test, we decided to use PATS to top-off (augment) the existing stuck-at test patterns. This is in contrast to the approach applied to the LSI test chip considered in [18]. Top-off test selection is easily accomplished by (i) grading the existing stuck-at test patterns using the physically-aware N-detect metric, and (ii) using those results as the starting point for PATS. In other words, only tests that established neighborhood states that have not yet been established by the existing stuck-at tests are selected by PATS. 3. Industrial Chips and Test Flows The physically-aware N-detect test metric is applied to industrial chips from IBM and LSI. Details of the two chips and their corresponding test flows are described next IBM In-Production Chips The chip from IBM is an in-production ASIC, fabricated using 130nm technology. The chip design has nearly a million gates, and the physical neighborhood information includes all the signal lines within 0.6µm of the targeted line. After applying the rules described in Section 2.1, approximately four million uncollapsed stuck-at faults are considered. PATS is used to select a physically-aware N s =10-detect test set of 5,000 test patterns from a N d =20- detect test set of 16,358 patterns. Cadence Encounter Test [22] was used to generate the 20-detect test set. It was also used to fault simulate and extract neighborhood states for both the IBM stuck-at tests and the 20-detect test set. The production test for the IBM chip, applied in the following sequence, includes scan-based stuck-at test, IDDQ, PATS, and delay test. These tests are applied in a stopon-first-fail environment, that is, failure of any chip means that subsequent tests are not applied. Features of the various test sets are shown in Table 1. The stuck-at and PATS test sets are applied with a latch launch-capture speed between 33MHz and 50MHz. Delay test is applied at different speeds, mostly ranging from 77MHz to 143MHz, but is performed at low VDD. For IDDQ, four measurements are taken. Fault coverage, where known, is based on the appropriate test metric. For stuck-at tests, coverage is simply the percentage of stuck-at faults detected. For delay test, it is the percentage of transition faults detected. Finally, for PATS, coverage is the percentage of stuck-at faults that have N s 10 or N s = 2 n < 10, where n is the number of neighbors in a given stuck-at fault neighborhood. Test speed Fault coverage Test type No. patterns (MHz) (%) Stuck-at 3, IDDQ PATS 5, Delay * 19, * Applied at low VDD. Table 1. Production test details for the IBM ASIC LSI Test Chips The LSI test chip consists of bit ALUs (arithmetic-logic units) that are interconnected through Paper 21.3 INTERNATIONAL TEST CONFERENCE 3

4 multiplexers and scan chains, and is fabricated using a 130nm process. Each ALU has approximately 3,000 gates, 10,220 stuck-at faults, and is subjected to scan-chain integrity test, scan-based stuck-at test, and IDDQ test. The stuck-at test consists of 260 scan-test patterns, achieving >99% stuck-at fault coverage. In the next section, we describe how the tester responses from stuck-at failures are used for comparing the traditional and physically-aware N-detect metrics. Number of faults Silicon Experiments We examine the effectiveness of the physically-aware metric using two approaches. The first approach centers on a coarse-grained test experiment involving the aforementioned chip from IBM. The second approach is fine-grained in nature and uses diagnosis of failing test chips from LSI Coarse-Grained Experiment In this experiment, the ability of tests derived using the physically-aware metric to detect actual defects is examined and compared to other types of tests that include stuck-at, IDDQ, logic BIST, and delay patterns. The test statistics and the production test analysis for the IBM chip is presented in the following subsections Test Statistics The physically-aware test methodology described in Section 2 is applied to the IBM chip. Figure 2 shows the distribution of neighborhood sizes, i.e., the number of faults (y-axis) that have the specified number of neighbors (x-axis). Since driver-gate and receiver-side inputs are included along with the actual physical neighbors, each fault has at least one neighbor. From Figure 2, it is evident that a majority of faults have less than 20 neighbors. The plot would have a much longer tail however if all faults and neighbors were included. (Recall that some faults and neighbors are excluded based on the criteria outlined in Sections 2.1 and 2.3, respectively.) A comparison of the 1-detect, physically-aware 10- detect, and the 20-detect test sets is shown in Table 2. The last two columns of Table 2 give the percentage of change for various test-set attributes for PATS and the 20-detect test sets with respect to the original (1-detect) stuck-at test applied by IBM. The size of each test set is given in the second row, where the number in parentheses gives the number of test patterns whose neighborhood states are easily extractable. The third row is the total number of neighborhood states established by each test set. Table Number of neighbors Figure 2. Distribution of neighborhood sizes. reveals that PATS achieves 56% more states with only a 39% increase in test-set size over the 1-detect test set. The traditional 20-detect test set establishes 2.2X more neighborhood states than the 1-detect test set but at the cost of a 3.5X increase in the number of tests. This means there are patterns within the 20-detect test set that do not establish new neighborhood states, implying inefficient use of test resources in the generation and application of traditional N-detect tests. The fourth and fifth row show the number of faults that have N d = 10 and N s = 10, respectively. Although the 20-detect test set has more faults reported for both metrics, its advantage over PATS is not significant and comes at the cost of a much larger pattern count. Figure 3(a) plots the number of faults (y-axis) that have N s detections with unique states for various values of N s (x-axis). The fault counts from the 1-detect test set form the lower bound, and the upper bound stems from the 20-detect test set. For clarity, the tails of the curves are not shown in Figure 3(a). It can be observed that PATS and the 20-detect test set are very close for N s 10, thus demonstrating the ability of PATS to boost neighborhood state counts for faults with fewer established states. For N s > 10, PATS gradually departs from the 20-detect test set as expected since we do not target faults that have N s 10. Test resources are therefore not wasted on well-tested faults that are not targeted. Figure 3(b) shows the number of faults versus, where is defined as the difference between N d and N s. Ideally, should be zero indicating that every time the corresponding fault is detected, it is done so with a unique neighborhood state. A fault with a large means it is detected many times but with repeating neighborhood states. In this experiment, the 1-detect test set has the most faults with = 0, while the 20-detect test set has the least. Even though many Paper 21.3 INTERNATIONAL TEST CONFERENCE 4

5 Percentage change Percentage change Test set properties 1-detect PATS 20-detect PATS vs. 1-detect 20-detect vs. 1-detect 3,594 5,000 16, % % Test-set size (3,431) (5,000) (15,328) (45.73%) (346.75%) No. of established states M M M 56.28% % No. of faults with N d M 3.70M 3.89M 23.33% 29.67% No. of faults with N s M 1.70M 1.81M 25.93% 34.07% Table 2. Comparison of test-set sizes and the number of neighborhood states established detect PATS 1-detect detect PATS 1-detect Number of faults (million) N s Number of faults (million) = N d - N s (a) (b) Figure 3. Analysis of N-detect and PATS test sets based on the distribution of (a) the number of faults versus N s, and (b) the number of faults versus = N d N s. faults have = 0 for the 1-detect, this is not a desirable situation since this test set only guarantees that each fault is sensitized a single time. The 20-detect curve has a long tail, which is absent from Figure 3(b) for clarity purposes. Compared to the 20-detect test set, PATS has more faults with low values for, indicating that the neighborhood state count reasonably increases as the number of detections grows. These results are quite consistent with our previous work [18], where we showed that N s can be significantly increased with respect to N d without increasing the number of test patterns Production Test Evaluation The outcome of the production test for nearly 100,000 IBM chips is described in Figure 4. Of the 99,718 parts that passed the stuck-at test, 57 parts failed the IDDQ test, 16 failed the PATS tests, and 330 failed delay test. The 16 chips that failed the PATS tests were subjected to re-test using the transition-fault delay tests and logic BIST. Logic BIST runs at-speed at about 300MHz under nominal VDD without any signal weighting, and applies 42,000 test patterns. Eight of 16 chips that failed the PATS tests were Figure 4. IBM production test results. Paper 21.3 INTERNATIONAL TEST CONFERENCE 5

6 detected by the delay test which means its application in production reduced DPPM (defect parts per million) by about 80. Of the remaining eight chips, five were detected by logic BIST. Thus, the addition of logic BIST to the production flow implies that DPPM reduction solely due to PATS would be approximately 30. Together, logic BIST and delay test apply over 60,000 patterns which is 12X more than the number of PATS tests. Even with this large difference, PATS is able to uniquely fail three chips. With respect to the delay test patterns alone, eight chips are uniquely failed even though nearly 4X more patterns are applied. It is likely the effectiveness of the 5,000 PATS test patterns can be further improved if, along with the stuck-at tests, the delay test and BIST patterns are graded and used as the starting point for PATS. This is possible but somewhat time consuming however since grading the 3,594 stuck-at patterns required 12 hours of compute time Fine-Grained Experiment In the fine-grained experiment, diagnosis is used to identify the defective site that established the first evidence of failure. The test patterns that sensitize this site are then examined to compare the traditional and physically-aware N-detect metrics, that is, N d and N s. It should be noted that this approach to measuring the effectiveness of a test methodology is quite general and can be used to examine the utility of a test method without necessitating the need for additional test patterns. This characteristic is demonstrated using fail logs from LSI test chips. The applied test set achieves >99% stuck-at fault coverage and more than 98% of the 10,220 faults are detected with N d > 1 (see Figure 5) 2. The value of N d will be used as one of the screening criteria for selecting failing chips for analysis. Next, we describe the screening flow for selecting failing chips of interest, the analytical procedure, and the results showing the effectiveness of the physically-aware metric Chip Selection To select failing chips best suitable for comparing the traditional and physically-aware N-detect metrics, we use the procedure described in Figure 6. The objective is to identify failing chips that are (i) hard to detect and (ii) have evidence that allows us to identify a single faulty signal line that causes the first failing pattern (FFP). The reason 2 It should be noted here that there are different stuck-at test sets applied to the identical ALUs within the LSI test chip, an artifact of the automated test-generation process. The data plotted in Figure 5 is from one of these test sets and is quite representative of the others. The analyses presented in Section however use all of the test sets, that is, the appropriate test set for a given failing ALU are coupled before the analysis is performed. Number of faults N d Figure 5. Distribution of the number of faults versus the number of detections N d for a 1-detect stuck-at test set applied to the LSI test-chip ALU. for this objective is that this class of chips are the ones that are directly targeted by N-detect. From the 2,533 chips in the stuck-at failure logs, nine chips whose failing patterns are all non-slat [23] (single location at a time) are excluded. These chips do not exhibit any stuck-at failure behavior, meaning they have one or more defects that create simultaneously faulty lines. These chips are difficult to diagnose and more importantly, are not directly targeted by N-detect test methods like the ones considered here. Using the methodology described in [21] with a neighborhood radius of 0.25µm, the stuck-at failure logs of 719 out of the remaining 2,524 failing chips are successfully diagnosed. For each failing chip the following is collected: 1. The index of FFP for the chip. 2. Whether or not the failing chip exactly exhibits stuckat behavior. (These chips are of no interest due to their simple detection characteristics.) 3. Each faulty site 3 believed to be involved in the failure and the following fault characteristics: (a) The number of test patterns, N d, that detected the fault before and including the chip s FFP. (b) The number of test patterns, N s, that detected the fault with a unique neighborhood state before and including the chip s FFP. (c) The index of the first pattern that detected the fault (which may or may not be the same as the chip s FFP). 3 A faulty site behaves as a stuck-at zero or one fault for one or more failing patterns but is not necessarily a stuck-at fault. Paper 21.3 INTERNATIONAL TEST CONFERENCE 6

7 by computing the ratio R = N s /N d. Table 3 shows the distribution of N d, N s and R for the 12 single-suspect chips. It can be seen that all 12 chips have R = 1, which means that each test that sensitized the faulty site established a unique neighborhood state. For each chip, multiple states were encountered before the chip failed. More interesting is that none of these hard-to-detect failures would be necessarily detected by a traditional N-detect test set. N d N s R No. of chips Table 3. The number of detections N d, the number of states N s, and their ratio R = N s /N d for LSI chip failures that have one sensitized faulty site for the chip s FFP. Figure 6. Diagnostic process used to identify the defective sites for hard-to-detect failures. In the third step of Figure 6, we disregarded chips whose FFP is a non-slat pattern. Although diagnosis has been successfully performed for these seven chips, a single site cannot be indicted as the cause of the chip s FFP. After this step there are 712 chips remaining. Next, any chip that has a faulty site that is detected by the chip s FFP and has N d = 1 is also disregarded. There are 631 chips falling into this category. These chips are easily detected with a 1-detect test set, and are therefore of no interest when considering N-detect. The remaining 81 chips are partitioned into two categories: 12 chips have only one suspect site, and 69 have two or more suspects, each of which could alone cause the chip s FFP. The smaller set of chips are of particular interest since we have significant confidence in the failure location identified by diagnosis. The larger set is of interest as well however since best, worst and average case analyses can be performed. In the next section, we examine the test of these faulty sites with respect to N d and N s for these hard-todetect defects N s /N d Ratio Analysis Using N d and N s collected for each chip during diagnosis, we compare traditional and physically-aware N-detect For chips with multiple suspect sites, the ratio is computed for the best, worst and average case. Specifically, for each failing chip c i with q i FFP sites, the best, worst and average ratios are calculated as follows: R i,best = max j=1 q i R i,j R i,worst = R i,avg = min j=1 q i R i,j j=1 q i R i,j /q i The histograms for the best, worst, and average ratios for the 69 multiple-suspect chips are shown in Figure 7. The histogram of the best ratios shows that the suspect sites of all but four failing chips have an R = 1, indicating that these sites are all detected N d times with N s = N d unique neighborhood states. Two of the four chips have a ratio of 0.6 and the other two have 0.8, which are still high. The histogram for the worst ratios shows that only four of 69 chips have a ratio of less than 0.5. For contrast, Figure 8 shows the histograms for two sets of data: first, from Figure 7, the average ratio of the multiple-suspect chips diagnosed, and second, the ratio for all of the faults in the LSI ALU (i.e., we compute R = N s /N d for every fault using all of the stuck-at patterns) 4. Comparing the two histograms reveals that 100% of the multiple-suspect failing chips have R 0.5 while only 34% of all stuck-at faults have R 0.5. Moreover, 74% (= 50/69) have a perfect R=1.0 4 Of the 10,220 stuck-at faults in the ALU, 2,299 of them are detected with N d N s = 2 n, where n is the number of neighbors. If N d > N s = 2 n, then the ratio R = N s/n d is misleading. Faults that have N s = 2 n are therefore not included in Figure 8. Paper 21.3 INTERNATIONAL TEST CONFERENCE 7

8 Number of chips best worst avg Percentage of fault sites (%) ~ detect avg Figure 7. Histograms of best, worst and average ratios for failing ALU chips that have multiple suspect sites that can cause the chip s FFP. Figure 8. Ratio comparison between faulty sites in diagnosed ALU chips and all ALU chip faults. while only 26% (= 2, 087/(10, 220 2, 299)) of all the stuck-at faults have R=1.0. These numbers only slightly change when the worst-case plot of Figure 7 is used instead. We draw the following conclusions from analyzing Figure Defective chips that are hard to detect require a relatively high ratio since it appears there is some particular neighborhood state required for defect activation. 2. For any of the failing chips, it is possible that some state before the chip s FFP activated the defect and sensitized the defect site to some observable point but did not cause failure due to timing issues. However, these cases are beyond the scope of this work since N-detect inherently focuses only on static defects, that is, those defects that do not depend on the timing or sequence of the applied test patterns. 3. Similar observations are made for the chips from Figure 6 not successfully diagnosed. All of these chips, for at least one failing pattern, suffered from at least two, simultaneously faulty lines. Because of this characteristic, they too are not directly targeted by N- detect. 5. Conclusions The effectiveness of the physically-aware metric was evaluated using tester responses from real silicon. In the experiment involving an in-production IBM chip, PATS uniquely failed 3 chips passing stuck-at, IDDQ, logic BIST and delay tests, even thought the latter tests applied 12X more patterns (i.e., 5,000 compared to over 60,000). As mentioned in Section 4.1.2, it is likely that the effectiveness of the PATS patterns can be further improved if the top-off test selection used, as a starting point, all the patterns (stuck-at, delay, and logic BIST) instead of just the stuck-at tests. The second experiment involving the LSI test chip provides evidence that hard-to-detect defects are activated only after various neighborhood states are established for the faulty sites. Utilizing the physically-aware metric in the test generation process can therefore improve defect detection by establishing more neighborhood states for targeted lines. PATS can be easily integrated into existing test flows, and as demonstrated here, can be applied to modern-day designs. In addition, the simple test-selection procedure implemented in PATS can be used in several ways. In [18], PATS was used to produce a neighborhood-based test set for the LSI test chip. The size of the resulting test was constrained to be equal to a traditional N-detect but the effectiveness, as measured by the number of neighborhood states, was significantly improved. In this work, PATS is used to create top-off patterns, that is, a limited number of patterns that are meant to maximize coverage of the overall scan test. PATS can also be used to improve diagnosis accuracy by creating additional test patterns for suspect sites. Specifically, knowledge of which neighborhood states lead to defect activation and which ones do not, enables the extraction of various defect characteristics [21]. There are a number of open research questions that may Paper 21.3 INTERNATIONAL TEST CONFERENCE 8

9 be worthy of exploration. For example, the results of the coarse-grained experiment reveal that many defects are caught by at-speed BIST and transition fault test. Given this result, it may be worthwhile to explore neighborhood-based delay test. Another more immediate question related to this work presented here has to do with the number of neighborhood states N s needed to achieve a desired level of quality. This question, which is the focus of our current work, is one that has been addressed by other researchers for traditional N-detect [4]. It is therefore likely those and similar works can be extended to physically-aware N-detect. 6. Acknowledgment The authors are very grateful to Brion Keller of Cadence for his valuable feedback on Encounter Test. The authors would also like to thank LSI for providing design and tester data for the ALU test chips. From IBM, we would like to thank Maroun Kassab for his work in extracting the neighborhood information for the IBM ASIC, and Christian Fontaine for performing all of the re-test and characterization experiments of the failing IBM chips. References [1] M. L. Bushnell and V. D. Agrawal, Essentials of Electronic Testing for Digital, Memory, and Mixed-Signal VLSI Circuits. Boston MA: Kluwer Academic Publishers, [2] S. C. Ma, P. Franco, and E. J. McCluskey, An Experimental Chip to Evaluate Test Techniques Experiment Results, Proc. International Test Conference, pp , Oct [3] E. J. McCluskey and C.-W. Tseng, Stuck-fault tests vs. actual defects, Proc. International Test Conference, pp , Oct [4] M. R. Grimaila, S. Lee, J. Dworak, K. Butler, B. Stewart, H. Balachandran, B. Houchins, V. Mathur, J. Park, L.-C. Wang, and M. R. Mercer, REDO - Random Excitation and Deterministic Observation - First Commercial Experiment, Proc. of VLSI Test Symposium, pp , Apr [5] S. Lee, B. Cobb, J. Dworak, M. R. Grimaila, and M. R. Mercer, A New ATPG Algorithm to Limit Test Set Size and Achieve Multiple Detections of all Faults, Proc. Design, Automation and Test in Europe, pp , Mar [6] B. Benware, C. Schuermyer, N. Tamarapalli, K.-H. Tsai, S. Ranganathan, R. Madge, J. Rajski, and P. Krishnamurthy, Impact of Multiple-Detect Test Patterns on Product Quality, International Test Conference, pp , Sep.- Oct [7] I. Pomeranz and S. M. Reddy, A Measure of Quality for N-Detection Test Sets, IEEE Transactions on Computers, vol. 53, pp , Nov [8] S. Venkataraman, S. Sivaraj, E. Amyeen, S. Lee, A. Ojha, and R. Guo, An Experimental Study of N-Detect Scan ATPG Patterns on a Processor, Proc. VLSI Test Symposium, pp , Apr [9] M. E. Amyeen, S. Venkataraman, A. Ojha, and S. Lee, Evaluation of the Quality of N-Detect Scan ATPG Patterns on a Processor, Proc. International Test Conference, pp , Oct [10] Y. Tian, M. Grimaila, W. Shi, and M. Mercer, An Optimal Test Pattern Selection Method to Improve the Defect Coverage, Proc. International Test Conference, Nov [11] H. Tang, G. Chen, S. M. Reddy, C. Wang, J. Rajski, and I. Pomeranz, Defect Aware Test Pattern, Proc. Design, Automation and Test in Europe, pp , Mar [12] J. E. Nelson, J. G. Brown, R. Desineni, and R. D. Blanton, Multiple-Detect ATPG Based on Physical Neighborhoods, Proc. Design Automation Conference, pp , July [13] K. R. Kantipudi and V. D. Agrawal, On The Size and Generation of Minimal N-Detection Tests, Proc. International Conference on VLSI Design, pp , Jan [14] J. Geuzebroek, E. J. Marinissen, A. Majhi, A. Glowatz, and F. Hapke, Embedded Multi-Detect ATPG and Its Effect on the Detection of Unmodeled Defects, Proc. International Test Conference, Oct [15] G. Bhargava, D. Meehl, and J. Sage, Achieving Serendipitous N-detect Mark-Offs in Multi-Capture-Clock Scan Patterns, Proc. International Test Conference, Oct [16] I. Pomeranz and S. M. Reddy, On the Saturation of N- Detection Test Sets with Increased N, Proc. International Test Conference, Oct [17] R. D. Blanton, K. N. Dwarakanath, and A. B. Shah, Analyzing the Effectiveness of Multiple-Detect Test Sets, Proc. International Test Conference, pp , Sep.-Oct [18] Y.-T. Lin, O. Poku, N. K. Bhatti, and R. D. Blanton, Physically-Aware N-Detect Test Pattern Selection, Proc. Design, Automation and Test in Europe, pp , Mar [19] X. Lin and R. Thompson, Test Generation for Designs with Multiple Clocks, Proc. Design Automation Conference, pp , June [20] V. Jain and J. Waicukauski, Scan Test Data Volume Reduction in Multi-Clocked Designs with Safe Capture Technique, Proc. International Test Conference, pp , Oct [21] R. Desineni, O. Poku, and R. D. Blanton, A Logic Diagnosis Methodology for Improved Localization and Extraction of Accurate Defect Behavior, Proc. International Test Conference, Oct [22] The Encounter Test Reference Manual, Cadence Design Systems, Inc., San Jose, CA., [23] L. M. Huisman, Diagnosing Arbitrary Defects in Logic Designs Using Single Location at a Time (SLAT), IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 23, pp , Jan Paper 21.3 INTERNATIONAL TEST CONFERENCE 9

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit I J C T A, 9(15), 2016, pp. 7465-7470 International Science Press Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit B. Gobinath* and B. Viswanathan** ABSTRACT

More information

Testing Digital Systems II

Testing Digital Systems II Lecture : Introduction Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture Today s Lecture Logistics Course Outline Review from TDS I Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture Logistics

More information

A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing

A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing LARISSA SOARES Federal University of Paraíba Department of Electrical Engineering Cidade Universitária, n/n João Pessoa BRAZIL

More information

I DDQ Current Testing

I DDQ Current Testing I DDQ Current Testing Motivation Early 99 s Fabrication Line had 5 to defects per million (dpm) chips IBM wanted to get 3.4 defects per million (dpm) chips Conventional way to reduce defects: Increasing

More information

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Ananda S.Paymode.Dnyaneshwar K.Padol. Santosh B.Lukare. Asst. Professor, Dept. of E & TC, LGNSCOE,Nashik,UO Pune, MaharashtraIndia

More information

Exploring the Basics of AC Scan

Exploring the Basics of AC Scan Page 1 of 8 Exploring the Basics of AC Scan by Alfred L. Crouch, Inovys This in-depth discussion of scan-based testing explores the benefits, implementation, and possible problems of AC scan. Today s large,

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Testing Digital Systems II. Problem: Fault Diagnosis

Testing Digital Systems II. Problem: Fault Diagnosis Testing Digital Systems II Lecture : Logic Diagnosis Instructor: M. Tahoori Copyright 26, M. Tahoori TDSII: Lecture Problem: Fault Diagnosis test patterns Circuit Under Diagnosis (CUD) expected response

More information

Design for Test for Digital ICs and Embedded Core Systems. Digital System Testing and Testable Design

Design for Test for Digital ICs and Embedded Core Systems. Digital System Testing and Testable Design Books A. Crouch. Design for Test for Digital ICs and Embedded Core Systems Prentice Hall, 1999. M. Abramovici, M. Breuer, A. Friedman. Digital System Testing and Testable Design Computer Science Press,

More information

Path Delay Test Compaction with Process Variation Tolerance

Path Delay Test Compaction with Process Variation Tolerance 50.1 Path Delay Test Compaction with Process Variation Tolerance Seiji Kajihara Masayasu Fukunaga Xiaoqing Wen Kyushu Institute of Technology 680-4 Kawazu, Iizuka, 820-8502 Japan e-mail:{kajihara, fukunaga,

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Emulating and Diagnosing IR-Drop by Using Dynamic SDF

Emulating and Diagnosing IR-Drop by Using Dynamic SDF Emulating and Diagnosing IR-Drop by Using Dynamic SDF Ke Peng *, Yu Huang **, Ruifeng Guo **, Wu-Tung Cheng **, Mohammad Tehranipoor * * ECE Department, University of Connecticut, {kpeng, tehrani}@engr.uconn.edu

More information

Test Automation - Automatic Test Generation Technology and Its Applications

Test Automation - Automatic Test Generation Technology and Its Applications Test Automation - Automatic Test Generation Technology and Its Applications 1. Introduction Kwang-Ting (Tim) Cheng and Angela Krstic Department of Electrical and Computer Engineering University of California

More information

EECS 579 Fall What is Testing?

EECS 579 Fall What is Testing? EECS 579 Fall 2001 Recap Text (new): Essentials of Electronic Testing by M. Bushnell & V. Agrawal, Kluwer, Boston, 2000. Class Home Page: http://www.eecs.umich.edu/courses/eecs579 Lecture notes and other

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

Design for Testability & Design for Debug

Design for Testability & Design for Debug EE-382M VLSI II Design for Testability & Design for Debug Bob Molyneaux Mark McDermott Anil Sabbavarapu EE 382M Class Notes Foil # 1 The University of Texas at Austin Agenda Why test? Scan: What is it?

More information

MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng.

MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng. MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng., UCLA - http://nanocad.ee.ucla.edu/ 1 Outline Introduction

More information

SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER

SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER ABSTRACT Vaishali Dhare 1 and Usha Mehta 2 1 Assistant Professor, Institute of Technology, Nirma University, Ahmedabad

More information

Experimental Results for Slow Speed Testing. Experimental Results for Slow Speed Testing. Chao-Wen Tseng

Experimental Results for Slow Speed Testing. Experimental Results for Slow Speed Testing. Chao-Wen Tseng enter for Reliable omputing Experimental Results for Slow Speed Testing hao-wen Tseng enter for Reliable omputing, Stanford University http://crc.stanford.edu Outline Problem Definition Introduction Test

More information

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1 Lecture 16: Testing, Design for Testability MAH, AEN EE271 Lecture 16 1 Overview Reading W&E 7.1-7.3 - Testing Introduction Up to this place in the class we have spent all of time trying to figure out

More information

Fast Statistical Timing Analysis By Probabilistic Event Propagation

Fast Statistical Timing Analysis By Probabilistic Event Propagation Fast Statistical Timing Analysis By Probabilistic Event Propagation Jing-Jia Liou, Kwang-Ting Cheng, Sandip Kundu, and Angela Krstić Electrical and Computer Engineering Department, University of California,

More information

Improved DFT for Testing Power Switches

Improved DFT for Testing Power Switches Improved DFT for Testing Power Switches Saqib Khursheed, Sheng Yang, Bashir M. Al-Hashimi, Xiaoyu Huang School of Electronics and Computer Science University of Southampton, UK. Email: {ssk, sy8r, bmah,

More information

VLSI Design Verification and Test Delay Faults II CMPE 646

VLSI Design Verification and Test Delay Faults II CMPE 646 Path Counting The number of paths can be an exponential function of the # of gates. Parallel multipliers are notorious for having huge numbers of paths. It is possible to efficiently count paths in spite

More information

TESTING DSM ASIC WITH STATIC, IDDQ, AND DYNAMIC TEST SUITE: IMPLEMENTATION AND RESULTS

TESTING DSM ASIC WITH STATIC, IDDQ, AND DYNAMIC TEST SUITE: IMPLEMENTATION AND RESULTS TESTING DSM ASIC WITH STATIC, IDDQ, AND DYNAMIC TEST SUITE: IMPLEMENTATION AND RESULTS Yoshihito Nishizaki, Osamu Nakayama, Chiaki Matsumoto, Yoshitaka Kimura, Toshimi Kobayashi, and Hiroyuki Nakamura

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

B. Fowler R. Arps A. El Gamal D. Yang. Abstract

B. Fowler R. Arps A. El Gamal D. Yang. Abstract Quadtree Based JBIG Compression B. Fowler R. Arps A. El Gamal D. Yang ISL, Stanford University, Stanford, CA 94305-4055 ffowler,arps,abbas,dyangg@isl.stanford.edu Abstract A JBIG compliant, quadtree based,

More information

Analyzing the Effectiveness of Multiple-Detect Test Sets

Analyzing the Effectiveness of Multiple-Detect Test Sets 2003 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising

More information

SCAN TEST is a well-established design-for-testability

SCAN TEST is a well-established design-for-testability IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 31, NO. 9, SEPTEMBER 2012 1417 X-Canceling MISR Architectures for Output Response Compaction With Unknown Values Joon-Sung

More information

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar Testing of Complex Digital Chips Juri Schmidt Advanced Seminar - 11.02.2013 Outline Motivation Why testing is necessary Background Chip manufacturing Yield Reasons for bad Chips Design for Testability

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Finding Best Voltage and Frequency to Shorten Power-Constrained Test Time

Finding Best Voltage and Frequency to Shorten Power-Constrained Test Time 2013 31st IEEE VLSI Test Symposium (VTS) Finding Best Voltage and Frequency to Shorten Power-Constrained Test Time Praveen Venkataramani, Suraj Sindia and Vishwani D. Agrawal Department of Electrical and

More information

UNEXPECTED through-silicon-via (TSV) defects may occur

UNEXPECTED through-silicon-via (TSV) defects may occur IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 10, OCTOBER 2017 1759 Grouping-Based TSV Test Architecture for Resistive Open and Bridge Defects in 3-D-ICs Young-woo

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Reliable Electronics? Precise Current Measurements May Tell You Otherwise. Hans Manhaeve. Ridgetop Europe

Reliable Electronics? Precise Current Measurements May Tell You Otherwise. Hans Manhaeve. Ridgetop Europe Reliable Electronics? Precise Current Measurements May Tell You Otherwise Hans Manhaeve Overview Reliable Electronics Precise current measurements? Accurate - Accuracy Resolution Repeatability Understanding

More information

Power Distribution Paths in 3-D ICs

Power Distribution Paths in 3-D ICs Power Distribution Paths in 3-D ICs Vasilis F. Pavlidis Giovanni De Micheli LSI-EPFL 1015-Lausanne, Switzerland {vasileios.pavlidis, giovanni.demicheli}@epfl.ch ABSTRACT Distributing power and ground to

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Project Presentations

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Project Presentations EE241 - Spring 2000 Advanced Digital Integrated Circuits Lecture 28 Memory Project Presentations 293 Cory Tuesday, May 2, 2-4pm o Murmann, Baytekin o Borinski, Dogan, Markow o Smilkstein, Wong o Zanella,

More information

A Scan Shifting Method based on Clock Gating of Multiple Groups for Low Power Scan Testing

A Scan Shifting Method based on Clock Gating of Multiple Groups for Low Power Scan Testing A Scan Shifting Meod based on Clock Gating of Multiple Groups for Low Power Scan Testing Sungyoul Seo 1, Yong Lee 1, Joohwan Lee 2, Sungho Kang 1 1 Department of Electrical and Electronic Engineering,

More information

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies Veena S. Chakravarthi and Swaroop Ghosh Abstract Test power has emerged as an important design concern in nano-scaled

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

DESIGN OF SIGNATURE REGISTERS USING SCAN FLIP-FLOPS FOR ON-CHIP DELAY MEASUREMENT

DESIGN OF SIGNATURE REGISTERS USING SCAN FLIP-FLOPS FOR ON-CHIP DELAY MEASUREMENT Int. J. Elec&Electr.Eng&Telecoms. 2013 P M Nasir Hussain and G V Suresh Babu, 2013 Research Paper ISSN 2319 2518 www.ijeetc.com Vol. 2, No. 4, October 2013 2013 IJEETC. All Rights Reserved DESIGN OF SIGNATURE

More information

Keerthi Heragu Michael L. Bushnell Vishwani D. Agrawal. Dept. of Electrical & Computer Eng. Dept. of Electrical & Computer Eng.

Keerthi Heragu Michael L. Bushnell Vishwani D. Agrawal. Dept. of Electrical & Computer Eng. Dept. of Electrical & Computer Eng. An Ecient Path Delay Fault Coverage Estimator Keerthi Heragu Michael L. Bushnell Vishwani D. Agrawal Dept. of Electrical & Computer Eng. Dept. of Electrical & Computer Eng. AT&T Bell Labs Rutgers University

More information

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits FDTD Analysis of High-Speed Cells in Silicon Integrated Circuits Neven Orhanovic and Norio Matsui Applied Simulation Technology Gateway Place, Suite 8 San Jose, CA 9 {neven, matsui}@apsimtech.com Abstract

More information

A BIST Circuit for Fault Detection Using Recursive Pseudo- Exhaustive Two Pattern Generator

A BIST Circuit for Fault Detection Using Recursive Pseudo- Exhaustive Two Pattern Generator Vol.2, Issue.3, May-June 22 pp-676-681 ISSN 2249-6645 A BIST Circuit for Fault Detection Using Recursive Pseudo- Exhaustive Two Pattern Generator K. Nivitha 1, Anita Titus 2 1 ME-VLSI Design 2 Dept of

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

MDLL & Slave Delay Line performance analysis using novel delay modeling

MDLL & Slave Delay Line performance analysis using novel delay modeling MDLL & Slave Delay Line performance analysis using novel delay modeling Abhijith Kashyap, Avinash S and Kalpesh Shah Backplane IP division, Texas Instruments, Bangalore, India E-mail : abhijith.r.kashyap@ti.com

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India, ISSN 2319-8885 Vol.03,Issue.30 October-2014, Pages:5968-5972 www.ijsetr.com Low Power and Area-Efficient Carry Select Adder THANNEERU DHURGARAO 1, P.PRASANNA MURALI KRISHNA 2 1 PG Scholar, Dept of DECS,

More information

CMOS Test and Evaluation

CMOS Test and Evaluation CMOS Test and Evaluation Manjul Bhushan Mark B. Ketchen CMOS Test and Evaluation A Physical Perspective Manjul Bhushan OctEval Hopewell Junction, NY, USA Mark B. Ketchen OcteVue Hadley, MA, USA ISBN 978-1-4939-1348-0

More information

Fault Diagnosis in Combinational Logic Circuits: A Survey

Fault Diagnosis in Combinational Logic Circuits: A Survey IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 02, 2015 ISSN (online): 2321-0613 Fault Diagnosis in Combinational Logic Circuits: A Survey Sarang S. Samangadkar 1 Shridhar

More information

Statistical Static Timing Analysis Technology

Statistical Static Timing Analysis Technology Statistical Static Timing Analysis Technology V Izumi Nitta V Toshiyuki Shibuya V Katsumi Homma (Manuscript received April 9, 007) With CMOS technology scaling down to the nanometer realm, process variations

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

EC O4 403 DIGITAL ELECTRONICS

EC O4 403 DIGITAL ELECTRONICS EC O4 403 DIGITAL ELECTRONICS Asynchronous Sequential Circuits - II 6/3/2010 P. Suresh Nair AMIE, ME(AE), (PhD) AP & Head, ECE Department DEPT. OF ELECTONICS AND COMMUNICATION MEA ENGINEERING COLLEGE Page2

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

Video Enhancement Algorithms on System on Chip

Video Enhancement Algorithms on System on Chip International Journal of Scientific and Research Publications, Volume 2, Issue 4, April 2012 1 Video Enhancement Algorithms on System on Chip Dr.Ch. Ravikumar, Dr. S.K. Srivatsa Abstract- This paper presents

More information

Design for Testability Implementation Of Dual Rail Half Adder Based on Level Sensitive Scan Cell Design

Design for Testability Implementation Of Dual Rail Half Adder Based on Level Sensitive Scan Cell Design Design for Testability Implementation Of Dual Rail Half Adder Based on Level Sensitive Scan Cell Design M.S.Kavitha 1 1 Department Of ECE, Srinivasan Engineering College Abstract Design for testability

More information

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs Thomas Olsson, Peter Nilsson, and Mats Torkelson. Dept of Applied Electronics, Lund University. P.O. Box 118, SE-22100,

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

Abstract. 1 Introduction

Abstract. 1 Introduction Variable Input Delay CMOS Logic for Low Power Design Tezaswi Raja Vishwani D. Agrawal Michael L. Bushnell Transmeta Corp. Auburn University, Dept. of ECE Rutgers University, Dept. of ECE Santa Clara, CA

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

The Design and Characterization of an 8-bit ADC for 250 o C Operation

The Design and Characterization of an 8-bit ADC for 250 o C Operation The Design and Characterization of an 8-bit ADC for 25 o C Operation By Lynn Reed, John Hoenig and Vema Reddy Tekmos, Inc. 791 E. Riverside Drive, Bldg. 2, Suite 15, Austin, TX 78744 Abstract Many high

More information

EFFECTING POWER CONSUMPTION REDUCTION IN DIGITAL CMOS CIRCUITS BY A HYBRID LOGIC SYNTHESIS TECHNIQUE

EFFECTING POWER CONSUMPTION REDUCTION IN DIGITAL CMOS CIRCUITS BY A HYBRID LOGIC SYNTHESIS TECHNIQUE EFFECTING POWER CONSUMPTION REDUCTION IN DIGITAL CMOS CIRCUITS BY A HYBRID LOGIC SYNTHESIS TECHNIQUE PBALASUBRAMANIAN Dr RCHINNADURAI MRLAKSHMI NARAYANA Department of Electronics and Communication Engineering

More information

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies A High Performance IDDQ Testable Cache for Scaled CMOS Technologies Swarup Bhunia, Hai Li and Kaushik Roy Purdue University, 1285 EE Building, West Lafayette, IN 4796 {bhunias, hl, kaushik}@ecn.purdue.edu

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

Optimized Selection of Frequencies for Faster-Than-at-Speed Test

Optimized Selection of Frequencies for Faster-Than-at-Speed Test Optimized Selection of Frequencies for Faster-Than-at-Speed Test Kampmann, Matthias; Kochte, Michael A.; Schneider, Eric; Indlekofer, Thomas; Hellebrand, Sybille; Wunderlich, Hans-Joachim Proceedings of

More information

Contents CONTRIBUTING FACTORS. Preface. List of trademarks 1. WHY ARE CUSTOM CIRCUITS SO MUCH FASTER?

Contents CONTRIBUTING FACTORS. Preface. List of trademarks 1. WHY ARE CUSTOM CIRCUITS SO MUCH FASTER? Contents Preface List of trademarks xi xv Introduction and Overview of the Book WHY ARE CUSTOM CIRCUITS SO MUCH FASTER? WHO SHOULD CARE? DEFINITIONS: ASIC, CUSTOM, ETC. THE 35,000 FOOT VIEW: WHY IS CUSTOM

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Abstract Pseudo-exhaustive pattern generators for built-in self-test (BIST) provide high fault

More information

COMPARATIVE ANALYSIS OF 32 BIT CARRY LOOK AHEAD ADDER USING HIGH SPEED CONSTANT DELAY LOGIC

COMPARATIVE ANALYSIS OF 32 BIT CARRY LOOK AHEAD ADDER USING HIGH SPEED CONSTANT DELAY LOGIC COMPARATIVE ANALYSIS OF 32 BIT CARRY LOOK AHEAD ADDER USING HIGH SPEED CONSTANT DELAY LOGIC V.Reethika Rao (1), Dr.K.Ragini (2) PG Scholar, Dept of ECE, G. Narayanamma Institute of Technology and Science,

More information

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS SURVEY ND EVLUTION OF LOW-POWER FULL-DDER CELLS hmed Sayed and Hussain l-saad Department of Electrical & Computer Engineering University of California Davis, C, U.S.. STRCT In this paper, we survey various

More information

Fast Placement Optimization of Power Supply Pads

Fast Placement Optimization of Power Supply Pads Fast Placement Optimization of Power Supply Pads Yu Zhong Martin D. F. Wong Dept. of Electrical and Computer Engineering Dept. of Electrical and Computer Engineering Univ. of Illinois at Urbana-Champaign

More information

Analyzing Reconvergent Fanouts in Gate Delay Fault Simulation

Analyzing Reconvergent Fanouts in Gate Delay Fault Simulation Analyzing Reconvergent Fanouts in Gate Delay Fault Simulation Hillary Grimes and Vishwani D. Agrawal Dept. of ECE, Auburn University Auburn, AL 36849 grimehh@auburn.edu, vagrawal@eng.auburn.edu Abstract

More information

FAULT SIMULATION AND TEST GENERATION FOR SMALL DELAY FAULTS. A Dissertation WANGQI QIU

FAULT SIMULATION AND TEST GENERATION FOR SMALL DELAY FAULTS. A Dissertation WANGQI QIU FAULT SIMULATION AND TEST GENERATION FOR SMALL DELAY FAULTS A Dissertation by WANGQI QIU Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements for

More information

Implementation of High Performance Carry Save Adder Using Domino Logic

Implementation of High Performance Carry Save Adder Using Domino Logic Page 136 Implementation of High Performance Carry Save Adder Using Domino Logic T.Jayasimha 1, Daka Lakshmi 2, M.Gokula Lakshmi 3, S.Kiruthiga 4 and K.Kaviya 5 1 Assistant Professor, Department of ECE,

More information

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Amber Path FX is a trusted analysis solution for designers trying to close on power, performance, yield and area in 40 nanometer processes

More information

Zhan Chen and Israel Koren. University of Massachusetts, Amherst, MA 01003, USA. Abstract

Zhan Chen and Israel Koren. University of Massachusetts, Amherst, MA 01003, USA. Abstract Layer Assignment for Yield Enhancement Zhan Chen and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 0003, USA Abstract In this paper, two algorithms

More information

2017 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media,

2017 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, 2017 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising

More information

BILBO-friendly Hybrid BIST Architecture with Asymmetric Polynomial Reseeding

BILBO-friendly Hybrid BIST Architecture with Asymmetric Polynomial Reseeding The 16th CSI International Symposium on Computer Architecture and Digital Systems (CADS 2012) BILBO-friendly Hybrid BIST Architecture with Asymmetric Polynomial Reseeding and el_sadredini@comp.iust.ac.ir,

More information

Published by: PIONEER RESEARCH & DEVELOPMENT GROUP (www.prdg.org) 1

Published by: PIONEER RESEARCH & DEVELOPMENT GROUP (www.prdg.org) 1 Design Of Low Power Approximate Mirror Adder Sasikala.M 1, Dr.G.K.D.Prasanna Venkatesan 2 ME VLSI student 1, Vice Principal, Professor and Head/ECE 2 PGP college of Engineering and Technology Nammakkal,

More information

Using Statistical Transformations to Improve Compression for Linear Decompressors

Using Statistical Transformations to Improve Compression for Linear Decompressors Using Statistical Transformations to Improve Compression for Linear Decompressors Samuel I. Ward IBM Systems &Technology Group 11400 Burnet RD Austin TX 78758 E-mail: siward@us.ibm.com Chris Schattauer,

More information

ELEC Digital Logic Circuits Fall 2015 Delay and Power

ELEC Digital Logic Circuits Fall 2015 Delay and Power ELEC - Digital Logic Circuits Fall 5 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849 http://www.eng.auburn.edu/~vagrawal

More information

Design of Baugh Wooley Multiplier with Adaptive Hold Logic. M.Kavia, V.Meenakshi

Design of Baugh Wooley Multiplier with Adaptive Hold Logic. M.Kavia, V.Meenakshi International Journal of Scientific & Engineering Research, Volume 6, Issue 4, April-2015 105 Design of Baugh Wooley Multiplier with Adaptive Hold Logic M.Kavia, V.Meenakshi Abstract Mostly, the overall

More information

Reduction of Peak Input Currents during Charge Pump Boosting in Monolithically Integrated High-Voltage Generators

Reduction of Peak Input Currents during Charge Pump Boosting in Monolithically Integrated High-Voltage Generators Reduction of Peak Input Currents during Charge Pump Boosting in Monolithically Integrated High-Voltage Generators Jan Doutreloigne Abstract This paper describes two methods for the reduction of the peak

More information

On Built-In Self-Test for Adders

On Built-In Self-Test for Adders On Built-In Self-Test for s Mary D. Pulukuri and Charles E. Stroud Dept. of Electrical and Computer Engineering, Auburn University, Alabama Abstract - We evaluate some previously proposed test approaches

More information

BASICS: TECHNOLOGIES. EEC 116, B. Baas

BASICS: TECHNOLOGIES. EEC 116, B. Baas BASICS: TECHNOLOGIES EEC 116, B. Baas 97 Minimum Feature Size Fabrication technologies (often called just technologies) are named after their minimum feature size which is generally the minimum gate length

More information

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage D. Aksin, M.A. Al- Shyoukh, F. Maloberti: "An 11 Bit Sub-Ranging SAR ADC with Input Signal Range of Twice Supply Voltage"; IEEE International Symposium on Circuits and Systems, ISCAS 2007, New Orleans,

More information

Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths

Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths Junxia Ma, Jeremy Lee and Mohammad Tehranipoor ECE Department, University of Connecticut, CT, 06269 {junxia, jslee,

More information

Center for Reliable Computing TECHNICAL REPORT. A Design Diversity Metric and Analysis of Redundant Systems Center for Reliable Computing

Center for Reliable Computing TECHNICAL REPORT. A Design Diversity Metric and Analysis of Redundant Systems Center for Reliable Computing Center for Reliable Computing TECHNICAL REPORT A Design Diversity Metric and Analysis of Redundant Systems Subhasish Mitra, Nirmal R. Saxena and Edward J. McCluskey 99-4 Center for Reliable Computing Preliminary

More information

Design and Analysis of Improved Sparse Channel Adder with Optimization of Energy Delay

Design and Analysis of Improved Sparse Channel Adder with Optimization of Energy Delay ISSN:1991-8178 Australian Journal of Basic and Applied Sciences Journal home page: www.ajbasweb.com Design and Analysis of Improved Sparse Channel Adder with Optimization of Energy Delay 1 Prajoona Valsalan

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

Modeling of Power Supply Transients for EMI Compliance in Digital Systems

Modeling of Power Supply Transients for EMI Compliance in Digital Systems Modeling of Power Supply Transients for EMI Compliance in Digital Systems M. Rodriguez-Irago 1, D. Barros Júnior 2, F. Vargas 2, M. B. Santos 1, I.C Teixeira 1, J. P. Teixeira 1 1 IST / INESC-ID Lisboa,

More information

On Determining the Real Output Xs by SAT-Based Reasoning

On Determining the Real Output Xs by SAT-Based Reasoning On Determining the Real Output s by SAT-Based Reasoning Melanie Elm, Michael A. Kochte, Hans-Joachim Wunderlich University of Stuttgart Institute of Computer Architecture and Computer Engineering Pfaffenwaldring

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Wafer Signature Analysis of I DDQ Test Data

Wafer Signature Analysis of I DDQ Test Data Wafer Signature Analysis of I DDQ Test Data Sagar S. Sabade D. M. H. Walker Department of Computer Science Texas A&M University College Station, TX 77843-32 Phone: (979) 862-4387 Fax: (979) 847-8578 E-mail:

More information

PERFORMANCE COMPARISON OF HIGHER RADIX BOOTH MULTIPLIER USING 45nm TECHNOLOGY

PERFORMANCE COMPARISON OF HIGHER RADIX BOOTH MULTIPLIER USING 45nm TECHNOLOGY PERFORMANCE COMPARISON OF HIGHER RADIX BOOTH MULTIPLIER USING 45nm TECHNOLOGY JasbirKaur 1, Sumit Kumar 2 Asst. Professor, Department of E & CE, PEC University of Technology, Chandigarh, India 1 P.G. Student,

More information

Winner-Take-All Networks with Lateral Excitation

Winner-Take-All Networks with Lateral Excitation Analog Integrated Circuits and Signal Processing, 13, 185 193 (1997) c 1997 Kluwer Academic Publishers, Boston. Manufactured in The Netherlands. Winner-Take-All Networks with Lateral Excitation GIACOMO

More information

Computer-Based Project in VLSI Design Co 3/7

Computer-Based Project in VLSI Design Co 3/7 Computer-Based Project in VLSI Design Co 3/7 As outlined in an earlier section, the target design represents a Manchester encoder/decoder. It comprises the following elements: A ring oscillator module,

More information