Kalman Filtering Methods for Semiconductor Manufacturing

Size: px
Start display at page:

Download "Kalman Filtering Methods for Semiconductor Manufacturing"

Transcription

1 Kalman Filtering Methods for Semiconductor Manufacturing Kameshwar Poolla Mechanical Engineering Electrical Engineering University of California Berkeley

2 Outline Kalman Filtering Overview Ingredients Applications Case Study: Thin Film Metrology Conclusions

3 The Filtering Problem Measurement noises, Disturbances, etc with known statistics Known Inputs Process Measured outputs Unknown Process State Problem: Estimate the process state

4 Example Measurement noises, Disturbances, etc Pressures, powers, flow rate, bias Plasma Chamber Wafer Temperature Reflected power Thickness metrology Problem: Etch Rates, Selectivities, Ion energies, ion densities Estimate the process state

5 Ingredients Process Model Could be linear (Kalman Filtering) Or Nonlinear (Extended Kalman Filtering) Could have unknown parameters in it Parameters could vary slowly with time Known input signals Measured output signals Statistics of noises and disturbances

6 Noises and Disturbances Noises e Measurement noise Quantization noise Disturbances w Exogenous signals that affect the process which we don t measure ex: leaks in backside He cooling system Also used to capture inaccuracies in process model: ex: sidewall deposition changes etching process

7 The Basic Idea Noises e Disturbances w Known Inputs u Actual Process Measured outputs y Kalman Gain K + Model of Process Estimated Process State

8 The Basic Idea Two mechanisms to estimate process state Use the process model Use the measurements Kalman Gain Captures the tradeoff between these two mechanisms Very little measurement noise K is large Very good model K is small

9 The formulae (Linear case) Process Model x& y = = Ax Cx + + B u 1 D u B 2 w D e 2 Kalman Gain Ricatti Equation 0 K = A T = B P + T 2 P PA + B 2 B T 2 PD T 2 D P 2

10 Extensions Extended Kalman Filtering For Nonlinear Process Models Uses a Jacobian Linearization EM Filtering Methods For Models with unknown parameters Joint estimation and filtering Can treat slow variations in parameters EWMA is a very special case of these methods

11 Applications A. Model-based Metrology Improvement (details in case study) B. Removing artifacts from metrology C. Indirect metrology D. End-pointing (details in case study)

12 A. Model Based Metrology Improvement Sensor observes a dynamic system Material model (layers/stacks) may be changing with time Traditional method: Some averaging to reduce noise Not too much because of bias Does not use all available data Dynamic nature of object being measured can be exploited More later in Case Study

13 B. Removing Artifacts from Metrology Spatially resolved Thermal metrology for PEB Using Integrated Wafer Onboard battery, electronics etc in a module Module has thermal mass and affects the measurements Want to remove this loading effect

14 Data Filtering Module Loading Thermal mass of module distorts temperature map Transient effect (30 second time constant) Correction based Kalman Filtering using FEM heat conduction equation Module Filter off Module Filter on

15 Module Effect Filtering Ideal Case Actual Case = bake plate temp (not measured) b T ) ( 2 R T R c R b + = α & ) ( ) ( 2 T T T T T c T m b + + = β α & ) ( 2 T T E E c E m + = β α & R T E = temp (meaured) = module m T

16 C. Indirect Metrology Cannot directly measure what is of interest Must use model to infer variable of interest Noises and disturbances Inputs Process Available measurements Variable of interest This is just Kalman Filtering!

17 Case Study: Optical Thin Film Metrology

18 Optical Thickness Measurements Light of one or more wavelength and/or polarization is focused on the wafer Thin film interference modulates the amount of reflected light for each wavelength/polarization. Reflectometry V t) = A Rt ( ) Io + B 2 I o I = R() t I Ellipsometry ( t) p i ( t ) ( ρ( t) = = tan( Ψ( t)) e R R s ( t) R o

19 Reflectance varies with λ and t Reflectance Reflectance Thickness (nm) Wavelength (nm)

20 in-situ optical sensors: Applications Deposition Deposition rate Uniformity Film quality as determined by refractive index Endpoint Etch Etch rate Uniformity Endpoint Photoresist development Development rate/depth

21 Reflectance Model Standard (simple) reflectance model: parallel, homogeneous, isotropic stack of thin films. Each film parameterized by complex refractive index and thickness } Layers under top layer are combined into an effective (possibly non-physical) complex refractive index Film Parameters: 5 or 7 real numbers Measurement parameters: polarization, angle of incidence, wavelength

22 Inverse Problem Given: Measurement data, measurement parameters (wavelength, polarization, incidence angle) Find: Film parameters Basic problem: Data points at given λ: 1 or 2 per angle of incidence Unknowns: 3 complex refractive indices 1 thickness

23 Regularizing the Inverse Problem Multiple angles of incidence Assume underlying model for refractive index Measure during deposition and assume constant refractive index

24 Dynamic Regularization Ellipsometry - Aspnes (1993) - uses derivative Urban and Tabet (1993) - uses 5 ellipsometric measurements to obtain 10 equations and 10 unknowns Reflectometry - Breiland and Killeen (1995) Assume constant rate of change in thickness: d Find parameters which best fit the data in a least squares sense + + = ) ) (,,,, ( ) ) (,,,, ( ),,,, ( 2 1 d T n t k n k n r d T t k n k n r t k n k n r r r r eff eff eff eff eff eff n M M

25 Parameter estimation Least squares - Maximum Likelihood estimate under white/gaussian noise assumptions Static etch rate: V s.t. ' (θ, d) t k + 1 r k = = t N k = 1 k = rˆ k n k + Td k 2 ( θ, t ) + n n k ~ N( 0, I ) k ˆ θ, dˆ = arg minv ' ( θ, d)

26 Dynamic Models Breiland and Killeen: t k + 1 r k = = rˆ( θ, t Extension to variable etch rate: t d k + 1 r k k ~ = = t t p k k d rˆ( θ, t + Td k ) ) ( d; k) + Td k k θ = [ n k n k] eff eff

27 Extended Kalman Filtering V ( θ ) min nk 1 + wk 1 w R Q 1 =, Lw N N k = Extended Kalman Filter is approximate, recursive solution for fixed θ. Computationally very efficient. Caveats: Can be sensitive to noise model Correct noise model does not necessarily give the best results

28 Estimation Applications Photoresist development Carroll and Ramirez (1990) observations are fringe counts Palmer, Spanos, Poolla (1996) parameter estimator Etch Vincent et al. (1995) reflectometry Galarza et al. (1997) ellipsometry Deposition Woo et al. (1996) reflectometry

29 EKF versus NLLSQ Simulated etch of amorphous silicon on known substrate, unknown a-si refractive index Single wavelength reflectometry Etch rate Reflectance Time Time

30 NLLSQ Least squares fit to data True n = 4.38, k = Estimated n = 4.65, k = etch rate=19.98 A/s Reflectance Reflectance Data Fit Time (s)

31 EKF Minimization of True n = 4.38 k = Estimated n = 4.37 k = V (θ ) Etch rate Etch rate Fit Time (s)

32 Extended Model for Reflectometry Disturbances Noise Process Etch/Dep Rate Thickness Optical Model Sensor Model Actuator Inputs Composition Variable sensor gain, offset - augmented state for parameter estimation Includes reactor model Gain and Offset

33 15 Gain Estimates Voltage (V) Simulation Simulation of SiO 2 /Si etch with initial errors and drifts in sensor gains and offsets Simulated Dual Wavelength Reflectometry Time (s) Etch Rate (Å/s) Depth Error (Å) Estimate Actual Time (s) Etch Rate from Simulated Etch Estimated Etch Rate Actual Etch Rate Offset Estimates Estimate Actual Depth Error Time (s)

34 Limited Region of Convergence SiO 2 /Si etch with varying initial thickness estimate True initial thickness: 4000 Å Correct process model Thickness Estimate (Å) Time (s)

35 Applications and Expt Results Etch rate/depth estimation Etch rate stabilization SiO 2 etching Process development/optimization Real time uniformity with distributed dual wavelength reflectometry Accurate Endpointing a-si(n+)/a-si(i) interface for TFT backchannel recess etch

36 Dual Wavelength Reflectometry Real-time, in-situ measurement of etch rate and depth for SiO 2 /Si etch Etch Rate (Å/s) Depth (Å) Etch Rate 900 W Etch Rate Power W Depth Time (s)

37 Active Etch Rate Stabilization Etch Rate (Å/s) Etch Rate Feedback Control Etch Rate Estimate Reference Forward Power Set-point Watts Time (s)

38 Spatially Distributed Reflectometry Multi-point laser reflectometry system installed on Plasmatherm 7000 RIE reactor Beam Splitters Optical Fiber Choppers Red Lock-In Green Lock-In Photo Diode Glass Plate Chamber Optical Fiber Green HeNe Red HeNe

39 Real Time Uniformity Optimization Distributed sensors allow for real time uniformity measurements Process Optimization Diagnostics Control if distributed actuation Etch Rate (Å/s) Distributed Etch Rate Measurement Op 1 Op 2 Op 3 Port 1 Port 2 Port Time (s)

40 Endpoint for a TFT Recess Etch Back channel recess process is simpler (lower cost, higher throughput) but demands accurate endpoint. Mask a-si:h(n+) a-si:h(i) SiN x Ta Gate Glass Substrate Requirement: Etch through a-si:h(n+) and endpoint at a-si:h(n+)/a-si:h(i) interface Manufacturing challenge

41 Accurate Endpoint Experiment 20 Etch Experiments 10 timed etches each of 100 seconds 10 with endpoint called using estimated etch depth from EKF-R Final film thickness measured by ex-situ spectral ellipsometer Expt Stack a-si:h (i) Ta Glass Substrate Goal: Etch 500 Å of 1000 Å a-si film Can not use OES to solve this endpoint problem.

42 Endpoint Result Result: 90% reduction in standard deviation of remaining film thickness by using EKF-R endpoint. Remaining a-si thickness (Å) Remaining a-si thickness (Å) σ=177Å Timed Etches σ=18Å EKF-R Endpointed Etches

43 Conclusions Extended Kalman Filtering is useful for real time optical metrology Enables self calibration of Reflectometry Estimates of etch/depth rates, film parameters Fast, recursive algorithm Demonstrated Experimental Validation Etch rate estimation/stabilization Process Optimization Endpointing Many other interesting and important applications of these ideas in Semiconductor Manufacturing

44 Acknowledgements Optical Thin Film Metrology examples from Tyrone Vincent, Colorado School of Mines Thermal metrology example Thanks to OnWafer Technologies for permission to use data

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Wireless Metrology in Semiconductor Manufacturing

Wireless Metrology in Semiconductor Manufacturing 1 Wireless Metrology in Semiconductor Manufacturing Costas J. Spanos Seminar 2 Outline Historical perspective Hardware and software applications Breakthroughs that have yet to be realized Distributed control

More information

( 2) ρ π V. Index Terms Sensor wafer, autonomous operation, in-situ data acquitsition, wireless communication INTRODUCTION

( 2) ρ π V. Index Terms Sensor wafer, autonomous operation, in-situ data acquitsition, wireless communication INTRODUCTION Real Time In-Situ Data Acquisition Using Autonomous On-Wafer Sensor Arrays Mason Freed, Michiel Krüger, Kameshwar Poolla, and Costas Spanos BCAM-Group, Univeristy of California at Berkeley, 5105 Etcheverry

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Thin film measurement solutions: Hardware, software, applications

Thin film measurement solutions: Hardware, software, applications Thin film measurement solutions: Hardware, software, applications We develop and manufacture wide range of optical thin-film metrology instruments from high-precision sophisticated ellipsometry and reflectometry

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Feature-level Compensation & Control. Sensors and Control September 15, 2005 A UC Discovery Project

Feature-level Compensation & Control. Sensors and Control September 15, 2005 A UC Discovery Project Feature-level Compensation & Control Sensors and Control September 15, 2005 A UC Discovery Project 2 Current Milestones Integrated sensor platform development 2 (M26 YII.16) Gather CMP and etching rate

More information

Compact ellipsometer employing a static polarimeter module with arrayed polarizer and wave-plate elements

Compact ellipsometer employing a static polarimeter module with arrayed polarizer and wave-plate elements Compact ellipsometer employing a static polarimeter module with arrayed polarizer and wave-plate elements Takashi Sato, 1 Takeshi Araki, 1 Yoshihiro Sasaki, 2 Toshihide Tsuru, 3 Toshiyasu Tadokoro, 1 and

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Advanced Plasma Technology. High precision film thickness trimming for the TFH industry. Roth & Rau AG September 2009

Advanced Plasma Technology. High precision film thickness trimming for the TFH industry. Roth & Rau AG September 2009 Advanced Plasma Technology High precision film thickness trimming for the TFH industry Roth & Rau AG September 2009 Product Overview IonScan Equipment for ultra-precise Surface Processing IonScan 800 Wafer

More information

UVISEL. Spectroscopic Phase Modulated Ellipsometer. The Ideal Tool for Thin Film and Material Characterization

UVISEL. Spectroscopic Phase Modulated Ellipsometer. The Ideal Tool for Thin Film and Material Characterization UVISEL Spectroscopic Phase Modulated Ellipsometer The Ideal Tool for Thin Film and Material Characterization High Precision Research Spectroscopic Ellipsometer The UVISEL ellipsometer offers the best combination

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Chapter 3 Fabrication

Chapter 3 Fabrication Chapter 3 Fabrication The total structure of MO pick-up contains four parts: 1. A sub-micro aperture underneath the SIL The sub-micro aperture is used to limit the final spot size from 300nm to 600nm for

More information

A simple null-field ellipsometric imaging system (NEIS) for in situ monitoring of EUV-induced deposition on EUV optics

A simple null-field ellipsometric imaging system (NEIS) for in situ monitoring of EUV-induced deposition on EUV optics A simple null-field ellipsometric imaging system (NEIS) for in situ monitoring of EUV-induced deposition on EUV optics Rashi Garg 1, Nadir Faradzhev 2, Shannon Hill 3, Lee Richter 3, P. S. Shaw 3, R. Vest

More information

Heterodyne Reflectometry for Angstroms-thick thick Thin Films

Heterodyne Reflectometry for Angstroms-thick thick Thin Films 1 Heterodyne Reflectometry for Angstroms-thick thick Thin Films Arun Aiyer, Ken Harvey, Andy Kueny, Mark Meloni Verity Instruments Inc, Carrollton, TX Ajith Varghese, Jonathan VanBuskirk, Malcolm Bevan

More information

Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors

Micro-sensors - what happens when you make classical devices small: MEMS devices and integrated bolometric IR detectors Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors Dean P. Neikirk 1 MURI bio-ir sensors kick-off 6/16/98 Where are the targets

More information

PhE102-VASE. PHE102 Variable Angle Spectroscopic Ellipsometer. Angstrom Advanced Inc. Angstrom Advanced. Angstrom Advanced

PhE102-VASE. PHE102 Variable Angle Spectroscopic Ellipsometer. Angstrom Advanced Inc. Angstrom Advanced. Angstrom Advanced Angstrom Advanced PhE102-VASE PHE102 Variable Angle Spectroscopic Ellipsometer Angstrom Advanced Instruments for Thin Film and Semiconductor Applications sales@angstromadvanced.com www.angstromadvanced.com

More information

Agilent 5527A/B-2 Achieving Maximum Accuracy and Repeatability

Agilent 5527A/B-2 Achieving Maximum Accuracy and Repeatability Agilent 5527A/B-2 Achieving Maximum Accuracy and Repeatability Product Note With the Agilent 5527A/B Laser Position Transducer System 2 Purpose of this Product Note The ability to model the performance

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

LSI ON GLASS SUBSTRATES

LSI ON GLASS SUBSTRATES LSI ON GLASS SUBSTRATES OUTLINE Introduction: Why System on Glass? MOSFET Technology Low-Temperature Poly-Si TFT Technology System-on-Glass Technology Issues Conclusion System on Glass CPU SRAM DRAM EEPROM

More information

A Laser-Based Thin-Film Growth Monitor

A Laser-Based Thin-Film Growth Monitor TECHNOLOGY by Charles Taylor, Darryl Barlett, Eric Chason, and Jerry Floro A Laser-Based Thin-Film Growth Monitor The Multi-beam Optical Sensor (MOS) was developed jointly by k-space Associates (Ann Arbor,

More information

Real time plasma etch control by means of physical plasma parameters with HERCULES

Real time plasma etch control by means of physical plasma parameters with HERCULES Real time plasma etch control by means of physical plasma parameters with HERCULES A. Steinbach 1) S. Bernhard 1) M. Sussiek 4) S. Wurm 2) Ch. Koelbl 3) D. Knobloch 1) Siemens, Dresden Siemens at International

More information

GSM OPTICAL MONITORING FOR HIGH PRECISION THIN FILM DEPOSITION

GSM OPTICAL MONITORING FOR HIGH PRECISION THIN FILM DEPOSITION OPTICAL MONITORING FOR HIGH PRECISION THIN FILM DEPOSITION OPTICAL MONITORING TECHNOLOGIES ENABLING OUR NEW WORLD! - ACHIEVING MORE DEMANDING THIN FILM SPECIFICATIONS - DRIVING DOWN UNIT COSTS THE GSM1101

More information

Week IX: INTERFEROMETER EXPERIMENTS

Week IX: INTERFEROMETER EXPERIMENTS Week IX: INTERFEROMETER EXPERIMENTS Notes on Adjusting the Michelson Interference Caution: Do not touch the mirrors or beam splitters they are front surface and difficult to clean without damaging them.

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

MEMS in ECE at CMU. Gary K. Fedder

MEMS in ECE at CMU. Gary K. Fedder MEMS in ECE at CMU Gary K. Fedder Department of Electrical and Computer Engineering and The Robotics Institute Carnegie Mellon University Pittsburgh, PA 15213-3890 fedder@ece.cmu.edu http://www.ece.cmu.edu/~mems

More information

Angela Piegari ENEA, Optical Coatings Laboratory, Roma, Italy

Angela Piegari ENEA, Optical Coatings Laboratory, Roma, Italy Optical Filters for Space Instrumentation Angela Piegari ENEA, Optical Coatings Laboratory, Roma, Italy Trieste, 18 February 2015 Optical Filters Optical Filters are commonly used in Space instruments

More information

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith 9. Interference Lithography Sponsors: National Science Foundation, DMR-0210321; Dupont Agreement 12/10/99 Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg,

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

TECHNICAL QUICK REFERENCE GUIDE MANUFACTURING CAPABILITIES GLASS PROPERTIES COATING CURVES REFERENCE MATERIALS

TECHNICAL QUICK REFERENCE GUIDE MANUFACTURING CAPABILITIES GLASS PROPERTIES COATING CURVES REFERENCE MATERIALS TECHNICAL QUICK REFERENCE GUIDE COATING CURVES GLASS PROPERTIES MANUFACTURING CAPABILITIES REFERENCE MATERIALS TABLE OF CONTENTS Why Edmund Optics?... 3 Anti-Reflective (AR) Coatings... 4-16 Metallic Mirror

More information

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory.

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. Issued: Tuesday, Sept. 13, 2011 PROBLEM SET #2 Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. 1. Below in Figure 1.1 is a description of a DRIE silicon etch using the Marvell

More information

2.1 BASIC THEORY: INTERFERENCE OF TWO BEAMS

2.1 BASIC THEORY: INTERFERENCE OF TWO BEAMS 2 LASER INTERFERENCE LITHOGRAPHY (LIL) 9 2 LASER INTERFERENCE LITHOGRAPHY (LIL) Laser interference lithography [3~22] (LIL) is a method to produce periodic structures using two interfering highly-coherent

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

Templates, DTR and BPM Media

Templates, DTR and BPM Media Complete Metrology Solutions Imprint Technology Templates, DTR and BPM Media Simultaneous and Non-Destructive Measurements of Depth Top and Bottom CD Residual Layer Thickness, RLT DLC Thickness Side Wall

More information

Symmetrically coated pellicle beam splitters for dual quarter-wave retardation in reflection and transmission

Symmetrically coated pellicle beam splitters for dual quarter-wave retardation in reflection and transmission University of New Orleans ScholarWorks@UNO Electrical Engineering Faculty Publications Department of Electrical Engineering 1-1-2002 Symmetrically coated pellicle beam splitters for dual quarter-wave retardation

More information

Fiber Optic Sensing Applications Based on Optical Propagation Mode Time Delay Measurement

Fiber Optic Sensing Applications Based on Optical Propagation Mode Time Delay Measurement R ESEARCH ARTICLE ScienceAsia 7 (1) : 35-4 Fiber Optic Sensing Applications Based on Optical Propagation Mode Time Delay Measurement PP Yupapin a * and S Piengbangyang b a Lightwave Technology Research

More information

Bandpass Interference Filters

Bandpass Interference Filters Precise control of center wavelength and bandpass shape Wide selection of stock wavelengths from 250 nm-1550 nm Selection of bandwidths Available in 1/2 and 1 sizes High peak transmission values Excellent

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

High Power RF MEMS Switch Technology

High Power RF MEMS Switch Technology High Power RF MEMS Switch Technology Invited Talk at 2005 SBMO/IEEE MTT-S International Conference on Microwave and Optoelectronics Conference Dr Jia-Sheng Hong Heriot-Watt University Edinburgh U.K. 1

More information

Angela Piegari ENEA, Optical Coatings Laboratory, Roma, Italy

Angela Piegari ENEA, Optical Coatings Laboratory, Roma, Italy Optical Filters for Space Instrumentation Angela Piegari ENEA, Optical Coatings Laboratory, Roma, Italy Trieste, 18 February 2015 Optical coatings for Space Instrumentation Spectrometers, imagers, interferometers,

More information

Fabrication Techniques of Optical ICs

Fabrication Techniques of Optical ICs Fabrication Techniques of Optical ICs Processing Techniques Lift off Process Etching Process Patterning Techniques Photo Lithography Electron Beam Lithography Photo Resist ( Microposit MP1300) Electron

More information

Nanofluidic Diodes based on Nanotube Heterojunctions

Nanofluidic Diodes based on Nanotube Heterojunctions Supporting Information Nanofluidic Diodes based on Nanotube Heterojunctions Ruoxue Yan, Wenjie Liang, Rong Fan, Peidong Yang 1 Department of Chemistry, University of California, Berkeley, CA 94720, USA

More information

Plasma diagnostic in an inductively coupled plasma using chlorine chemistry

Plasma diagnostic in an inductively coupled plasma using chlorine chemistry Plasma diagnostic in an inductively coupled plasma using chlorine chemistry H. Steinmetz, J. Strobl, N. Rohn and T. Werner, Lam Research GmbH M. Klick, W. Rehak, M. Kammeyer, and D. Suchland, Adolf-Slaby-Institute

More information

Polarization Experiments Using Jones Calculus

Polarization Experiments Using Jones Calculus Polarization Experiments Using Jones Calculus Reference http://chaos.swarthmore.edu/courses/physics50_2008/p50_optics/04_polariz_matrices.pdf Theory In Jones calculus, the polarization state of light is

More information

Etching Small Samples and the Effects of Using a Carrier Wafer STS ICP-RIE

Etching Small Samples and the Effects of Using a Carrier Wafer STS ICP-RIE Etching Small Samples and the Effects of Using a Carrier Wafer STS ICP-RIE This note is a brief description of the effects of bonding pieces to a carrier wafer during the etch process on the STS ICP-RIE.

More information

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements 1 Optical Metrology Optical Microscopy What is its place in IC production? What are the limitations and the hopes? The issue of Alignment

More information

This writeup is adapted from Fall 2002, final project report for by Robert Winsor.

This writeup is adapted from Fall 2002, final project report for by Robert Winsor. Optical Waveguides in Andreas G. Andreou This writeup is adapted from Fall 2002, final project report for 520.773 by Robert Winsor. September, 2003 ABSTRACT This lab course is intended to give students

More information

SPRAY DROPLET SIZE MEASUREMENT

SPRAY DROPLET SIZE MEASUREMENT SPRAY DROPLET SIZE MEASUREMENT In this study, the PDA was used to characterize diesel and different blends of palm biofuel spray. The PDA is state of the art apparatus that needs no calibration. It is

More information

Grating-waveguide structures and their applications in high-power laser systems

Grating-waveguide structures and their applications in high-power laser systems Grating-waveguide structures and their applications in high-power laser systems Marwan Abdou Ahmed*, Martin Rumpel, Tom Dietrich, Stefan Piehler, Benjamin Dannecker, Michael Eckerle, and Thomas Graf Institut

More information

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura Stresa, Italy, 25-27 April 2007 PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING Teruhisa Akashi and Yasuhiro Yoshimura Mechanical Engineering Research Laboratory (MERL),

More information

Chapter Ray and Wave Optics

Chapter Ray and Wave Optics 109 Chapter Ray and Wave Optics 1. An astronomical telescope has a large aperture to [2002] reduce spherical aberration have high resolution increase span of observation have low dispersion. 2. If two

More information

PHY 431 Homework Set #5 Due Nov. 20 at the start of class

PHY 431 Homework Set #5 Due Nov. 20 at the start of class PHY 431 Homework Set #5 Due Nov. 0 at the start of class 1) Newton s rings (10%) The radius of curvature of the convex surface of a plano-convex lens is 30 cm. The lens is placed with its convex side down

More information

A Multiwavelength Interferometer for Geodetic Lengths

A Multiwavelength Interferometer for Geodetic Lengths A Multiwavelength Interferometer for Geodetic Lengths K. Meiners-Hagen, P. Köchert, A. Abou-Zeid, Physikalisch-Technische Bundesanstalt, Braunschweig Abstract: Within the EURAMET joint research project

More information

The TSIS Spectral Irradiance Monitor: Prism Optical Degradation Studies

The TSIS Spectral Irradiance Monitor: Prism Optical Degradation Studies The TSIS Spectral Irradiance Monitor: Prism Optical Degradation Studies Lo Erik Richard, Dave Harber, Joel Rutkowski, Matt Triplett, Kasandra O Malia Laboratory for Atmospheric and Space Physics (LASP)

More information

The equipment used share any common features regardless of the! being measured. Electronic detection was not always available.

The equipment used share any common features regardless of the! being measured. Electronic detection was not always available. The equipment used share any common features regardless of the! being measured. Each will have a light source sample cell! selector We ll now look at various equipment types. Electronic detection was not

More information

CHAPTER 5 FINE-TUNING OF AN ECDL WITH AN INTRACAVITY LIQUID CRYSTAL ELEMENT

CHAPTER 5 FINE-TUNING OF AN ECDL WITH AN INTRACAVITY LIQUID CRYSTAL ELEMENT CHAPTER 5 FINE-TUNING OF AN ECDL WITH AN INTRACAVITY LIQUID CRYSTAL ELEMENT In this chapter, the experimental results for fine-tuning of the laser wavelength with an intracavity liquid crystal element

More information

INTRODUCTION: Basic operating principle of a MOSFET:

INTRODUCTION: Basic operating principle of a MOSFET: INTRODUCTION: Along with the Junction Field Effect Transistor (JFET), there is another type of Field Effect Transistor available whose Gate input is electrically insulated from the main current carrying

More information

CHIRPED FIBER BRAGG GRATING (CFBG) BY ETCHING TECHNIQUE FOR SIMULTANEOUS TEMPERATURE AND REFRACTIVE INDEX SENSING

CHIRPED FIBER BRAGG GRATING (CFBG) BY ETCHING TECHNIQUE FOR SIMULTANEOUS TEMPERATURE AND REFRACTIVE INDEX SENSING CHIRPED FIBER BRAGG GRATING (CFBG) BY ETCHING TECHNIQUE FOR SIMULTANEOUS TEMPERATURE AND REFRACTIVE INDEX SENSING Siti Aisyah bt. Ibrahim and Chong Wu Yi Photonics Research Center Department of Physics,

More information

EE119 Introduction to Optical Engineering Fall 2009 Final Exam. Name:

EE119 Introduction to Optical Engineering Fall 2009 Final Exam. Name: EE119 Introduction to Optical Engineering Fall 2009 Final Exam Name: SID: CLOSED BOOK. THREE 8 1/2 X 11 SHEETS OF NOTES, AND SCIENTIFIC POCKET CALCULATOR PERMITTED. TIME ALLOTTED: 180 MINUTES Fundamental

More information

Deposition and Characterization of Dielectric Distributed Bragg Reflectors

Deposition and Characterization of Dielectric Distributed Bragg Reflectors Deposition and Characterization of Dielectric Distributed Bragg Reflectors MICHAEL LAPP Erasmus Mundus Master of Science in Nanoscience and Nanotechnology Promoter: Prof. Anders Larsson Co-promoter: Prof.

More information

Exercise 8: Interference and diffraction

Exercise 8: Interference and diffraction Physics 223 Name: Exercise 8: Interference and diffraction 1. In a two-slit Young s interference experiment, the aperture (the mask with the two slits) to screen distance is 2.0 m, and a red light of wavelength

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Diode Sensor Lab. Dr. Lynn Fuller

Diode Sensor Lab. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Diode Sensor Lab Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax

More information

Silicon wafer thickness monitor

Silicon wafer thickness monitor Silicon wafer thickness monitor SIT-200 Alnair Labs Corporation 2016.04.20 Principle of Measurement Silicon wafer Optical fiber Sensor head Wavelength tunable laser PD PD Interference signal Power monitor

More information

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry Ronny Haupt, Jiang Zhiming, Leander Haensel KLA-Tencor Corporation One Technology Drive, Milpitas 95035, CA Ulf Peter

More information

Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials

Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials Kjeld Pedersen Department of Physics and Nanotechnology, AAU SEMPEL Semiconductor Materials for Power Electronics

More information

New CD-SEM System for 100-nm Node Process

New CD-SEM System for 100-nm Node Process New CD-SEM System for 100-nm Node Process Hitachi Review Vol. 51 (2002), No. 4 125 Osamu Nasu Katsuhiro Sasada Mitsuji Ikeda Makoto Ezumi OVERVIEW: With the semiconductor device manufacturing industry

More information

Introduction Visible light is an electromagnetic wave, characterized by a wavelength, an amplitude

Introduction Visible light is an electromagnetic wave, characterized by a wavelength, an amplitude Thin Film Interferences of SiO2 and TiO2 : Thickness and Iridescence Eman Mousa Alhajji North Carolina State University Department of Materials Science and Engineering MSE 355 Lab Report 201 A Matthew

More information

Generation of a Line Focus for Material Processing from an Array of High Power Diode Laser Bars R. Baettig, N. Lichtenstein, R. Brunner, J.

Generation of a Line Focus for Material Processing from an Array of High Power Diode Laser Bars R. Baettig, N. Lichtenstein, R. Brunner, J. Generation of a Line Focus for Material Processing from an Array of High Power Diode Laser Bars R. Baettig, N. Lichtenstein, R. Brunner, J. Müller, B. Valk, M. Kreijci, S. Weiss Overview This slidepack

More information

2007-Novel structures of a MEMS-based pressure sensor

2007-Novel structures of a MEMS-based pressure sensor C-(No.16 font) put by office 2007-Novel structures of a MEMS-based pressure sensor Chang-Sin Park(*1), Young-Soo Choi(*1), Dong-Weon Lee (*2) and Bo-Seon Kang(*2) (1*) Department of Mechanical Engineering,

More information

Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60

Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60 Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60 Bruce Smith Y. Fan, J. Zhou, L. Zavyalova, M. Slocum, J. Park, A. Bourov, E. Piscani, N. Lafferty, A. Estroff Rochester Institute

More information

Photoresist erosion studied in an inductively coupled plasma reactor employing CHF 3

Photoresist erosion studied in an inductively coupled plasma reactor employing CHF 3 Photoresist erosion studied in an inductively coupled plasma reactor employing CHF 3 M. F. Doemling, N. R. Rueger, and G. S. Oehrlein a) Department of Physics, University at Albany, State University of

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers

Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers Iulian Codreanu and Glenn D. Boreman We report on the influence of the dielectric substrate

More information

Will contain image distance after raytrace Will contain image height after raytrace

Will contain image distance after raytrace Will contain image height after raytrace Name: LASR 51 Final Exam May 29, 2002 Answer all questions. Module numbers are for guidance, some material is from class handouts. Exam ends at 8:20 pm. Ynu Raytracing The first questions refer to the

More information

OpticaI=Emissiori Spectroscopy For Plasma Processing

OpticaI=Emissiori Spectroscopy For Plasma Processing OpticaI=Emissiori Spectroscopy For Plasma Processing By Marshall J. Cohen, Business Element Manager for Semiconductor Instruments, EG&G Princeton Applied Research, Princeton, New Jersey P lasma etching

More information

Department of Mechanical and Aerospace Engineering, Princeton University Department of Astrophysical Sciences, Princeton University ABSTRACT

Department of Mechanical and Aerospace Engineering, Princeton University Department of Astrophysical Sciences, Princeton University ABSTRACT Phase and Amplitude Control Ability using Spatial Light Modulators and Zero Path Length Difference Michelson Interferometer Michael G. Littman, Michael Carr, Jim Leighton, Ezekiel Burke, David Spergel

More information

Superconducting Nanowire Single Photon Detector (SNSPD) integrated with optical circuits

Superconducting Nanowire Single Photon Detector (SNSPD) integrated with optical circuits Superconducting Nanowire Single Photon Detector (SNSPD) integrated with optical circuits Marcello Graziosi, ESR 3 within PICQUE (Marie Curie ITN project) and PhD student marcello.graziosi@ifn.cnr.it Istituto

More information

IST IP NOBEL "Next generation Optical network for Broadband European Leadership"

IST IP NOBEL Next generation Optical network for Broadband European Leadership DBR Tunable Lasers A variation of the DFB laser is the distributed Bragg reflector (DBR) laser. It operates in a similar manner except that the grating, instead of being etched into the gain medium, is

More information

Interference metal/dielectric filters integrated on CMOS image sensors SEMICON Europa, 7-8 October 2014

Interference metal/dielectric filters integrated on CMOS image sensors SEMICON Europa, 7-8 October 2014 Interference metal/dielectric filters integrated on CMOS image sensors SEMICON Europa, 7-8 October 2014 laurent.frey@cea.fr Outline Spectral filtering applications Consumer Multispectral Prior art Organic

More information

Optical Interconnection in Silicon LSI

Optical Interconnection in Silicon LSI The Fifth Workshop on Nanoelectronics for Tera-bit Information Processing, 1 st Century COE, Hiroshima University Optical Interconnection in Silicon LSI Shin Yokoyama, Yuichiro Tanushi, and Masato Suzuki

More information

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI 1 Integrated diodes pn junctions of transistor structures can be used as integrated diodes. The choice of the junction is limited by the considerations of switching speed and breakdown voltage. The forward

More information

Using Multi Way PCA (MPCA) for Advanced Monitoring and Diagnosis for Plasma Processing based on Optical Emission Spectroscopy

Using Multi Way PCA (MPCA) for Advanced Monitoring and Diagnosis for Plasma Processing based on Optical Emission Spectroscopy Page 1 Using Multi Way PCA (MPCA) for Advanced Monitoring and Diagnosis for Plasma Processing based on Optical Emission Spectroscopy Fraunhofer Infineon Technologies Dresden Infineon Technologies München

More information

New Pixel Circuits for Driving Organic Light Emitting Diodes Using Low-Temperature Polycrystalline Silicon Thin Film Transistors

New Pixel Circuits for Driving Organic Light Emitting Diodes Using Low-Temperature Polycrystalline Silicon Thin Film Transistors Chapter 4 New Pixel Circuits for Driving Organic Light Emitting Diodes Using Low-Temperature Polycrystalline Silicon Thin Film Transistors ---------------------------------------------------------------------------------------------------------------

More information

Optical MEMS pressure sensor based on a mesa-diaphragm structure

Optical MEMS pressure sensor based on a mesa-diaphragm structure Optical MEMS pressure sensor based on a mesa-diaphragm structure Yixian Ge, Ming WanJ *, and Haitao Yan Jiangsu Key Lab on Opto-Electronic Technology, School of Physical Science and Technology, Nanjing

More information

Flat Top, Ultra-Narrow Band Pass Optical Filters Using Plasma Deposited Hard Oxide Coatings

Flat Top, Ultra-Narrow Band Pass Optical Filters Using Plasma Deposited Hard Oxide Coatings Flat Top, Ultra-Narrow Band Pass Optical Filters Using Plasma Deposited Hard Oxide Coatings Alluxa Engineering Staff September 2012 0 1 0.1 1 cav 2 cav 3 cav 4 cav 5 cav 0.01 0.001 635 636 637 638 639

More information

Photonics and Optical Communication

Photonics and Optical Communication Photonics and Optical Communication (Course Number 300352) Spring 2007 Dr. Dietmar Knipp Assistant Professor of Electrical Engineering http://www.faculty.iu-bremen.de/dknipp/ 1 Photonics and Optical Communication

More information

Deposition of Optical Coatings with Real Time Control by the Spectroellipsometry

Deposition of Optical Coatings with Real Time Control by the Spectroellipsometry Feature Article JY Division I nformation Thin Film Deposition of Optical Coatings with Real Time Control by the Spectroellipsometry Bernard Drévillon, Pavel Bulkin Abstract In-situ spectroscopic ellipsometry

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

Stability of a Fiber-Fed Heterodyne Interferometer

Stability of a Fiber-Fed Heterodyne Interferometer Stability of a Fiber-Fed Heterodyne Interferometer Christoph Weichert, Jens Flügge, Paul Köchert, Rainer Köning, Physikalisch Technische Bundesanstalt, Braunschweig, Germany; Rainer Tutsch, Technische

More information

Synthesis of Silicon. applications. Nanowires Team. Régis Rogel (Ass.Pr), Anne-Claire Salaün (Ass. Pr)

Synthesis of Silicon. applications. Nanowires Team. Régis Rogel (Ass.Pr), Anne-Claire Salaün (Ass. Pr) Synthesis of Silicon nanowires for sensor applications Anne-Claire Salaün Nanowires Team Laurent Pichon (Pr), Régis Rogel (Ass.Pr), Anne-Claire Salaün (Ass. Pr) Ph-D positions: Fouad Demami, Liang Ni,

More information

R. J. Jones Optical Sciences OPTI 511L Fall 2017

R. J. Jones Optical Sciences OPTI 511L Fall 2017 R. J. Jones Optical Sciences OPTI 511L Fall 2017 Semiconductor Lasers (2 weeks) Semiconductor (diode) lasers are by far the most widely used lasers today. Their small size and properties of the light output

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02 EE 5611 Introduction to Microelectronic Technologies Fall 2014 Thursday, September 04, 2014 Lecture 02 1 Lecture Outline Review on semiconductor materials Review on microelectronic devices Example of microelectronic

More information

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information

The 34th International Physics Olympiad

The 34th International Physics Olympiad The 34th International Physics Olympiad Taipei, Taiwan Experimental Competition Wednesday, August 6, 2003 Time Available : 5 hours Please Read This First: 1. Use only the pen provided. 2. Use only the

More information