Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60

Size: px
Start display at page:

Download "Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60"

Transcription

1 Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60 Bruce Smith Y. Fan, J. Zhou, L. Zavyalova, M. Slocum, J. Park, A. Bourov, E. Piscani, N. Lafferty, A. Estroff Rochester Institute of Technology

2 Outline The imaging limits of materials Pushing the limits of immersion lithography The solid immersion lens Solid immersion lithography (SIL) Evanescent wave lithography (EWL) Imaging 6nm at 1.85NA

3 Material and Optical Limitations NA = n i sin θ 1. Sin θ increases slowly at large angles (sin 68 =0.93). Hyper-NA will be forced upon material refractive index 3. Resolution will become a function of the lowest index (fluid, optics, photoresist). glass media photoresist substrate hp k1λ n sinθ (0.5 to 0.30)(193nm) n (0.93) min = = = i i 5 n i to 6 n i nm

4 Technology Limits in Media TIR from Snells Law: θ c = sin -1 (n L /n H ) 100 SIL (1.56) HIF (1.54) Air (1.00) H 0 (1.44) SIL (1.70) Air (1.00) H HIF 0 (1.44) (1.54) θ c = sin -1 (n L /n H ) Reflectance TE TM Reflectance TE TM Angle (degrees) Angle (degrees) Fused silica (n=1.56) Sapphire (n=1.9)

5 Technology Limits in Media Numerical Aperture Half-Pitch (nm) k1= k1= Angle in media Water (1.44) HIF (1.55) HIF (1.65) Photoresist (1.70) HI PR (1.85) Fused silica (1.54) Sapphire (1.9) TIR

6 Impact of Angle in Photoresist Simple Approximations Unpolarized modulation Polarization and absorption Modulation 1 cos θ Absorption Absorption scaling DOF scaling Depth of focus 1 sin θ NA 1.0NA Angle in resist (degrees) NA 1.0NA Angle in resist (degrees) Oblique absorption requires low k photoresist Paraxial DOF scales with 1/sin (θ) Angles above 30 (0.85 NA) require attention Oblique reflection becomes an issue > 30

7 A Solid Immersion Lens - A high index solid immersion lens is placed in close proximity to an image plane - Dry imaging for NA values > Used in optical storage applications - Energy coupled into the thin film decays exponentially: A( z) = e πn upper λ sin n θ n lower upper 1 / + α z n upper = lens n lower = air z = gap

8 Solid Immersion Lithography Sapphire SIL Breadboard Sapphire Properties: - Hexagonal, single-crystalline Al O 3 - n = 1.9, birefringence ~8x Equilateral prism at 60 is 1.67NA - Designed for NA 1.05~1.9 - MgF is ideal AR layer Sapphire prism Turning mirrors prism cylinder Zero-order block Challenges: - Gap and gap control - Birefringence - CAR resist diffusion length limit - Resist/BARC process optimization Grating mask Polarized ArF beam

9 Optical Coupling in the Prism Laser Detector Laser Detector (a) Baseline (no wafer). (b) Reflection (with wafer). (a) Before pressure is applied. (b) After pressure is applied.

10 Estimation of Gap Thickness - Reflectance measurement used to estimate gap thickness. - Gap controllable from 0-50nm - 1nm air gap utilized. Reflectance NA=1.66 Theoretical Measurement Immersion solid (sapphire), N 0 =1.9 Air gap, N 1 =1.00, d 1 =0~50 nm Resist, N = i, d =78 nm BARC, N= i, 9 nm Substrate N sub = i Air gap thickness (nm) Resist assembly

11 Solid Immersion Lithography at the Resist Limit 1.4NA, 34nm 1.60NA, 30nm 1.66NA, 9nm ILSim simulations

12 Beyond the Resist Limit Evanescent Wave Coupling n upper sinθ = NA max Homogeneous propagation θ c Evanescent region n lower sinθ Evanescent region thin film higher n n upper -hi n lower -low Homogeneous propagation NA = n i sinθ Energy coupled into the thin film decays exponentially: A(z) = e πn λ upper sin n θ n lower upper 1 / +α z

13 Evanescent Wave Lithography Beyond the Resist Limit - 6nm hp at 1.85NA NA (1.85) has been pushed higher than the index of the resist (1.70). Image pattern depth of <10 nm. Sets the stage for new material development toward 5nm. Potential with TSI and hardmask imaging layers. 1.85NA, 6nm

14 Gap Requirements / Tolerances Assume 50% intensity loss across the image no loss in modulation 1% gap results in ~0.5-1% intensity at 1.70NA dose control issue Gap (nm) for 50% intensity nm hp at 64 in sapphire A(z) = e Water πn λ upper HIF Gap Index sin Gap (nm) for 50% intensity n θ n Numerical Aperture lower upper 1 / 8nm hp HIF gap for 0.5k1 +α z 7nm hp

15 Implications of SIL and Evanescent Wave Lithography 1. SIL / EWL is useful for determining the ultimate limits of optical lithography in the 5nm regime.. NA possible beyond the fluid index. 3. Higher index photoresists may not be necessary if topsurface imaging (TSI) can be employed. 4. SIL may be feasible if small fluid gaps can be maintained.

16 Technology Limits in Media Numerical Aperture Half-Pitch (nm) k1= k1= Angle in media Water (1.44) HIF (1.55) HIF (1.65) Photoresist (1.70) HI PR (1.85) Fused silica (1.54) Sapphire (1.9) Can be achieved with immersion lithography May be possible with SIL / EWL Not likely Acknowledgements SRC, DARPA/AFRL, Sematech, ASML, Photronics, TOK, JSR, Rohm and Haas, Brewer, NYSTAR, Corning Tropel

Approaching the NA of Water: Immersion Lithography at 193nm

Approaching the NA of Water: Immersion Lithography at 193nm Approaching the NA of Water: Immersion Lithography at 193nm Bruce Smith Y. Fan, A. Bourov, L. Zavyalova, J. Zhou, F. Cropanese, N. Lafferty Rochester Institute of Technology M. Gower, D. Ashworth Exitech

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Experimental measurement of photoresist modulation curves

Experimental measurement of photoresist modulation curves Experimental measurement of photoresist modulation curves Anatoly Bourov *a,c, Stewart A. Robertson b, Bruce W. Smith c, Michael Slocum c, Emil C. Piscani c a Rochester Institute of Technology, 82 Lomb

More information

Amphibian XIS: An Immersion Lithography Microstepper Platform

Amphibian XIS: An Immersion Lithography Microstepper Platform Amphibian XIS: An Immersion Lithography Microstepper Platform Bruce W. Smith, Anatoly Bourov, Yongfa Fan, Frank Cropanese, Peter Hammond Rochester Institute of Technology, Microelectronic Engineering Department,

More information

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 3-29-2006 Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

More information

From ArF Immersion to EUV Lithography

From ArF Immersion to EUV Lithography From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions Lithography is enabling 1000

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Fabrication Techniques of Optical ICs

Fabrication Techniques of Optical ICs Fabrication Techniques of Optical ICs Processing Techniques Lift off Process Etching Process Patterning Techniques Photo Lithography Electron Beam Lithography Photo Resist ( Microposit MP1300) Electron

More information

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005 Lithography Roadmap without immersion lithography Node Half pitch 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 22 nm 250 nm 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 248nm 193nm 157nm EUVL 3-year cycle: 2-year cycle:

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Immersion Lithography Micro-Objectives

Immersion Lithography Micro-Objectives Immersion Lithography Micro-Objectives James Webb and Louis Denes Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450 (U.S.A.) 585-388-3500, webbj@corning.com, denesl@corning.com ABSTRACT The

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Advanced Patterning Techniques for 22nm HP and beyond

Advanced Patterning Techniques for 22nm HP and beyond Advanced Patterning Techniques for 22nm HP and beyond An Overview IEEE LEOS (Bay Area) Yashesh A. Shroff Intel Corporation Aug 4 th, 2009 Outline The Challenge Advanced (optical) lithography overview Flavors

More information

TECHNICAL QUICK REFERENCE GUIDE MANUFACTURING CAPABILITIES GLASS PROPERTIES COATING CURVES REFERENCE MATERIALS

TECHNICAL QUICK REFERENCE GUIDE MANUFACTURING CAPABILITIES GLASS PROPERTIES COATING CURVES REFERENCE MATERIALS TECHNICAL QUICK REFERENCE GUIDE COATING CURVES GLASS PROPERTIES MANUFACTURING CAPABILITIES REFERENCE MATERIALS TABLE OF CONTENTS Why Edmund Optics?... 3 Anti-Reflective (AR) Coatings... 4-16 Metallic Mirror

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

Water Immersion Optical Lithography for the 45nm Node

Water Immersion Optical Lithography for the 45nm Node Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 6-26-2003 Water Immersion Optical Lithography for the 45nm Node Bruce W. Smith Rochester Institute of Technology

More information

Diffractive Axicon application note

Diffractive Axicon application note Diffractive Axicon application note. Introduction 2. General definition 3. General specifications of Diffractive Axicons 4. Typical applications 5. Advantages of the Diffractive Axicon 6. Principle of

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-1: LER and CAR AIT-2: Resolution Enhancement

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

RMS roughness: < 1.5Å on plane surfaces and about 2Å on smoothly bended spherical surfaces

RMS roughness: < 1.5Å on plane surfaces and about 2Å on smoothly bended spherical surfaces HIGH QUALITY CAF 2 COMPONENTS LOWEST STRAYLIGHT LOSSES IN THE UV Our special polishing technique for calcium fluoride guarantees: RMS roughness: < 1.5Å on plane surfaces and about 2Å on smoothly bended

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

Micro-Optic Solar Concentration and Next-Generation Prototypes

Micro-Optic Solar Concentration and Next-Generation Prototypes Micro-Optic Solar Concentration and Next-Generation Prototypes Jason H. Karp, Eric J. Tremblay and Joseph E. Ford Photonics Systems Integration Lab University of California San Diego Jacobs School of Engineering

More information

Radial Coupling Method for Orthogonal Concentration within Planar Micro-Optic Solar Collectors

Radial Coupling Method for Orthogonal Concentration within Planar Micro-Optic Solar Collectors Radial Coupling Method for Orthogonal Concentration within Planar Micro-Optic Solar Collectors Jason H. Karp, Eric J. Tremblay and Joseph E. Ford Photonics Systems Integration Lab University of California

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

NEW APPROACHES IN OPTICAL LITHOGRAPHY TECHNOLOGY FOR SUBWAVELENGTH RESOLUTION. Hoyoung Kang. M.S. Hanyang University (1987) May 2005

NEW APPROACHES IN OPTICAL LITHOGRAPHY TECHNOLOGY FOR SUBWAVELENGTH RESOLUTION. Hoyoung Kang. M.S. Hanyang University (1987) May 2005 NEW APPROACHES IN OPTICAL LITHOGRAPHY TECHNOLOGY FOR SUBWAVELENGTH RESOLUTION by Hoyoung Kang M.S. Hanyang University (1987) A dissertation submitted in partial fulfillment of the requirements for the

More information

The Formation of an Aerial Image, part 3

The Formation of an Aerial Image, part 3 T h e L i t h o g r a p h y T u t o r (July 1993) The Formation of an Aerial Image, part 3 Chris A. Mack, FINLE Technologies, Austin, Texas In the last two issues, we described how a projection system

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Grating-waveguide structures and their applications in high-power laser systems

Grating-waveguide structures and their applications in high-power laser systems Grating-waveguide structures and their applications in high-power laser systems Marwan Abdou Ahmed*, Martin Rumpel, Tom Dietrich, Stefan Piehler, Benjamin Dannecker, Michael Eckerle, and Thomas Graf Institut

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

A process for, and optical performance of, a low cost Wire Grid Polarizer

A process for, and optical performance of, a low cost Wire Grid Polarizer 1.0 Introduction A process for, and optical performance of, a low cost Wire Grid Polarizer M.P.C.Watts, M. Little, E. Egan, A. Hochbaum, Chad Jones, S. Stephansen Agoura Technology Low angle shadowed deposition

More information

1. Evolution Of Fiber Optic Systems

1. Evolution Of Fiber Optic Systems OPTICAL FIBER COMMUNICATION UNIT-I : OPTICAL FIBERS STRUCTURE: 1. Evolution Of Fiber Optic Systems The operating range of optical fiber system term and the characteristics of the four key components of

More information

Optical Projection Printing and Modeling

Optical Projection Printing and Modeling Optical Projection Printing and Modeling Overview of optical lithography, concepts, trends Basic Parameters and Effects (1-14) Resolution Depth of Focus; Proximity, MEEF, LES Image Calculation, Characterization

More information

Applied Optics. , Physics Department (Room #36-401) , ,

Applied Optics. , Physics Department (Room #36-401) , , Applied Optics Professor, Physics Department (Room #36-401) 2290-0923, 019-539-0923, shsong@hanyang.ac.kr Office Hours Mondays 15:00-16:30, Wednesdays 15:00-16:30 TA (Ph.D. student, Room #36-415) 2290-0921,

More information

Lecture 5. Optical Lithography

Lecture 5. Optical Lithography Lecture 5 Optical Lithography Intro For most of microfabrication purposes the process (e.g. additive, subtractive or implantation) has to be applied selectively to particular areas of the wafer: patterning

More information

Optical Issues in Photolithography

Optical Issues in Photolithography OpenStax-CNX module: m25448 1 Optical Issues in Photolithography Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 note: This module

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Innovative Mask Aligner Lithography for MEMS and Packaging

Innovative Mask Aligner Lithography for MEMS and Packaging Innovative Mask Aligner Lithography for MEMS and Packaging Dr. Reinhard Voelkel CEO SUSS MicroOptics SA September 9 th, 2010 1 SUSS Micro-Optics SUSS MicroOptics is a leading supplier for high-quality

More information

Photolithography 光刻 Part I: Optics

Photolithography 光刻 Part I: Optics 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part I: Optics Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Integrate Circuits Moore's law transistor number transistor

More information

OPAC 202 Optical Design and Instrumentation. Topic 3 Review Of Geometrical and Wave Optics. Department of

OPAC 202 Optical Design and Instrumentation. Topic 3 Review Of Geometrical and Wave Optics. Department of OPAC 202 Optical Design and Instrumentation Topic 3 Review Of Geometrical and Wave Optics Department of http://www.gantep.edu.tr/~bingul/opac202 Optical & Acustical Engineering Gaziantep University Feb

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Shadow Printing Photomask

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Lecture 5. SPR Sensors: Principle and Instrumentation.

Lecture 5. SPR Sensors: Principle and Instrumentation. Lecture 5 Optical sensors. SPR Sensors: Principle and Instrumentation. t ti Optical sensors What they can be based on: Absorption spectroscopy (UV-VIS, VIS IR) Fluorescence/phosphorescence spectroscopy

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength E. Golan *a, D. Meshulach a, N. Raccah a, J.Ho Yeo a, O. Dassa a, S. Brandl b, C. Schwarz b, B. Pierson c, and W. Montgomery d [check

More information

Phys214 Fall 2004 Midterm Form A

Phys214 Fall 2004 Midterm Form A 1. A clear sheet of polaroid is placed on top of a similar sheet so that their polarizing axes make an angle of 30 with each other. The ratio of the intensity of emerging light to incident unpolarized

More information

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith 9. Interference Lithography Sponsors: National Science Foundation, DMR-0210321; Dupont Agreement 12/10/99 Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg,

More information

MicroSpot FOCUSING OBJECTIVES

MicroSpot FOCUSING OBJECTIVES OFR P R E C I S I O N O P T I C A L P R O D U C T S MicroSpot FOCUSING OBJECTIVES APPLICATIONS Micromachining Microlithography Laser scribing Photoablation MAJOR FEATURES For UV excimer & high-power YAG

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Requirements and designs of illuminators for microlithography

Requirements and designs of illuminators for microlithography Keynote Address Requirements and designs of illuminators for microlithography Paul Michaloski Corning Tropel Corporation Fairport, New York ABSTRACT The beam shaping by illuminators of microlithographic

More information

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium IMEC update A.M. Goethals IMEC, Leuven, Belgium Outline IMEC litho program overview ASML ADT status 1 st imaging Tool description Resist projects Screening using interference litho K LUP / Novel resist

More information

- Optics Design - Lens - Mirror - Window - Filter - Prism

- Optics Design - Lens - Mirror - Window - Filter - Prism - Optics Design - Lens - Mirror - Window - Filter - Prism Optics Design Camera lens Design Laser Line Beam Design Lithography lens Design F-theta lens Design Beam Expender Design Zoom Lens Design, etc.

More information

Will contain image distance after raytrace Will contain image height after raytrace

Will contain image distance after raytrace Will contain image height after raytrace Name: LASR 51 Final Exam May 29, 2002 Answer all questions. Module numbers are for guidance, some material is from class handouts. Exam ends at 8:20 pm. Ynu Raytracing The first questions refer to the

More information

PHYS 241 FINAL EXAM December 11, 2006

PHYS 241 FINAL EXAM December 11, 2006 1. (5 points) Light of wavelength λ is normally incident on a diffraction grating, G. On the screen S, the central line is at P and the first order line is at Q, as shown. The distance between adjacent

More information

Fiber Optic Communications

Fiber Optic Communications Fiber Optic Communications ( Chapter 2: Optics Review ) presented by Prof. Kwang-Chun Ho 1 Section 2.4: Numerical Aperture Consider an optical receiver: where the diameter of photodetector surface area

More information

Guided Propagation Along the Optical Fiber. Xavier Fernando Ryerson University

Guided Propagation Along the Optical Fiber. Xavier Fernando Ryerson University Guided Propagation Along the Optical Fiber Xavier Fernando Ryerson University The Nature of Light Quantum Theory Light consists of small particles (photons) Wave Theory Light travels as a transverse electromagnetic

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Xiangqun Miao* a, Lior Huli b, Hao Chen a, Xumou Xu a, Hyungje Woo a, Chris Bencher

More information

EXPRIMENT 3 COUPLING FIBERS TO SEMICONDUCTOR SOURCES

EXPRIMENT 3 COUPLING FIBERS TO SEMICONDUCTOR SOURCES EXPRIMENT 3 COUPLING FIBERS TO SEMICONDUCTOR SOURCES OBJECTIVES In this lab, firstly you will learn to couple semiconductor sources, i.e., lightemitting diodes (LED's), to optical fibers. The coupling

More information

why TECHSPEC? From Design to Prototype to Volume Production

why TECHSPEC? From Design to Prototype to Volume Production high volume stock optics Lenses From Design to Prototype to Volume Production Prisms Filters why TECHSPEC? Volume Discounts from 6 to 100,000 Pieces Certified Edmund Optics Quality Continual Availability

More information

EE119 Introduction to Optical Engineering Fall 2009 Final Exam. Name:

EE119 Introduction to Optical Engineering Fall 2009 Final Exam. Name: EE119 Introduction to Optical Engineering Fall 2009 Final Exam Name: SID: CLOSED BOOK. THREE 8 1/2 X 11 SHEETS OF NOTES, AND SCIENTIFIC POCKET CALCULATOR PERMITTED. TIME ALLOTTED: 180 MINUTES Fundamental

More information

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued) Module 11: Photolithography Lecture 14: Photolithography 4 (Continued) 1 In the previous lecture, we have discussed the utility of the three printing modes, and their relative advantages and disadvantages.

More information

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca

Femtosecond laser microfabrication in. Prof. Dr. Cleber R. Mendonca Femtosecond laser microfabrication in polymers Prof. Dr. Cleber R. Mendonca laser microfabrication focus laser beam on material s surface laser microfabrication laser microfabrication laser microfabrication

More information

LASER INTERFERENCE LITHOGRAPHY

LASER INTERFERENCE LITHOGRAPHY In: Lithography: Principles, Processes and Materials ISBN: 978-1-61761-837-6 Editor: Theodore C. Hennessy, pp. 133-148 2011 Nova Science Publishers, Inc. The exclusive license for this PDF is limited to

More information

Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: Signature:

Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: Signature: Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: PID: Signature: CLOSED BOOK. TWO 8 1/2 X 11 SHEET OF NOTES (double sided is allowed), AND SCIENTIFIC POCKET CALCULATOR

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember Günter Toesko - Laserseminar BLZ im Dezember 2009 1 Aberrations An optical aberration is a distortion in the image formed by an optical system compared to the original. It can arise for a number of reasons

More information

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond EUV Workshop 2013 June 13, 2013 EUV Resist Materials and Process for 16 nm Half Pitch and Beyond Yoshi Hishiro JSR Micro Inc. No13-2400-056 Challenge for EUV Resist & JSR approaches EUV Resist Resolution,

More information

Polarization Experiments Using Jones Calculus

Polarization Experiments Using Jones Calculus Polarization Experiments Using Jones Calculus Reference http://chaos.swarthmore.edu/courses/physics50_2008/p50_optics/04_polariz_matrices.pdf Theory In Jones calculus, the polarization state of light is

More information

Chapter 3 Fabrication

Chapter 3 Fabrication Chapter 3 Fabrication The total structure of MO pick-up contains four parts: 1. A sub-micro aperture underneath the SIL The sub-micro aperture is used to limit the final spot size from 300nm to 600nm for

More information

Guided Propagation Along the Optical Fiber. Xavier Fernando Ryerson Comm. Lab

Guided Propagation Along the Optical Fiber. Xavier Fernando Ryerson Comm. Lab Guided Propagation Along the Optical Fiber Xavier Fernando Ryerson Comm. Lab The Nature of Light Quantum Theory Light consists of small particles (photons) Wave Theory Light travels as a transverse electromagnetic

More information

EE119 Introduction to Optical Engineering Spring 2003 Final Exam. Name:

EE119 Introduction to Optical Engineering Spring 2003 Final Exam. Name: EE119 Introduction to Optical Engineering Spring 2003 Final Exam Name: SID: CLOSED BOOK. THREE 8 1/2 X 11 SHEETS OF NOTES, AND SCIENTIFIC POCKET CALCULATOR PERMITTED. TIME ALLOTTED: 180 MINUTES Fundamental

More information

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X) Basic Projection Printing (BPP) Modules Purpose: Explain the top 10 phenomena and concepts key to understanding optical projection printing BPP-1: Resolution and Depth of Focus (1.5X) BPP-2: Bragg condition

More information

Waveguides and Optical Fibers

Waveguides and Optical Fibers Waveguides and Optical Fibers Dielectric Waveguides Light Light Light n n Light n > n A planar dielectric waveguide has a central rectangular region of higher refractive index n than the surrounding region

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADPO11531 TITLE: Evanescent - Wave Recording in Very Thin Layers DISTRIBUTION: Approved for public release, distribution unlimited

More information

Introduction to Light Microscopy. (Image: T. Wittman, Scripps)

Introduction to Light Microscopy. (Image: T. Wittman, Scripps) Introduction to Light Microscopy (Image: T. Wittman, Scripps) The Light Microscope Four centuries of history Vibrant current development One of the most widely used research tools A. Khodjakov et al. Major

More information

OPC Scatterbars or Assist Features

OPC Scatterbars or Assist Features OPC Scatterbars or Assist Features Main Feature The isolated main pattern now acts somewhat more like a periodic line and space pattern which has a higher quality image especially with focus when off-axis

More information

3.Photolithography and resist systems

3.Photolithography and resist systems 3.Photolithography and resist systems Exposure Mercury arc lamp Shadow printing projection printing Photomask Substrates Resist systems DNQ-Novolak-based Epoxy-based Polyimide based 1 Exposure Mercury

More information

PHY 431 Homework Set #5 Due Nov. 20 at the start of class

PHY 431 Homework Set #5 Due Nov. 20 at the start of class PHY 431 Homework Set #5 Due Nov. 0 at the start of class 1) Newton s rings (10%) The radius of curvature of the convex surface of a plano-convex lens is 30 cm. The lens is placed with its convex side down

More information

Infrared wire grid polarizers: metrology, modeling, and laser damage threshold

Infrared wire grid polarizers: metrology, modeling, and laser damage threshold Infrared wire grid polarizers: metrology, modeling, and laser damage threshold Matthew George, Bin Wang, Jonathon Bergquist, Rumyana Petrova, Eric Gardner Moxtek Inc. Calcon 2013 Wire Grid Polarizer (WGP)

More information

Nanophotonic Waveguides and Photonic Crystals in Silicon-on-Insulator

Nanophotonic Waveguides and Photonic Crystals in Silicon-on-Insulator Nanophotonic Waveguides and Photonic Crystals in Silicon-on-Insulator Wim Bogaerts 19 April 2004 Photonics Research Group http://photonics.intec.ugent.be nano = small photon = elementary on a scale of

More information

Energy beam processing and the drive for ultra precision manufacturing

Energy beam processing and the drive for ultra precision manufacturing Energy beam processing and the drive for ultra precision manufacturing An Exploration of Future Manufacturing Technologies in Response to the Increasing Demands and Complexity of Next Generation Smart

More information

R&D Status and Key Technical and Implementation Challenges for EUV HVM

R&D Status and Key Technical and Implementation Challenges for EUV HVM R&D Status and Key Technical and Implementation Challenges for EUV HVM Sam Intel Corporation Agenda Requirements by Process Node EUV Technology Status and Gaps Photoresists Tools Reticles Summary 2 Moore

More information

OPTICAL PRINCIPLES OF MICROSCOPY. Interuniversity Course 28 December 2003 Aryeh M. Weiss Bar Ilan University

OPTICAL PRINCIPLES OF MICROSCOPY. Interuniversity Course 28 December 2003 Aryeh M. Weiss Bar Ilan University OPTICAL PRINCIPLES OF MICROSCOPY Interuniversity Course 28 December 2003 Aryeh M. Weiss Bar Ilan University FOREWORD This slide set was originally presented at the ISM Workshop on Theoretical and Experimental

More information

Purpose: Explain the top advanced issues and concepts in

Purpose: Explain the top advanced issues and concepts in Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: LER and Chemically Amplified Resists

More information

Optical systems have carrier frequencies of ~100 THz. This corresponds to wavelengths from µm.

Optical systems have carrier frequencies of ~100 THz. This corresponds to wavelengths from µm. Introduction A communication system transmits information form one place to another. This could be from one building to another or across the ocean(s). Many systems use an EM carrier wave to transmit information.

More information

Diffractive optical elements and their potential role in high efficiency illuminators

Diffractive optical elements and their potential role in high efficiency illuminators Diffractive optical elements and their potential role in high efficiency illuminators Patrick Naulleau Farhad Salmassi, Eric Gullikson, Erik Anderson Lawrence Berkeley National Laboratory Patrick Naulleau

More information

DIELECTRIC WAVEGUIDES and OPTICAL FIBERS

DIELECTRIC WAVEGUIDES and OPTICAL FIBERS DIELECTRIC WAVEGUIDES and OPTICAL FIBERS Light Light Light n 2 n 2 Light n 1 > n 2 A planar dielectric waveguide has a central rectangular region of higher refractive index n 1 than the surrounding region

More information

ECSE 352: Electromagnetic Waves

ECSE 352: Electromagnetic Waves December 2008 Final Examination ECSE 352: Electromagnetic Waves 09:00 12:00, December 15, 2008 Examiner: Zetian Mi Associate Examiner: Andrew Kirk Student Name: McGill ID: Instructions: This is a CLOSED

More information

The Formation of an Aerial Image, part 2

The Formation of an Aerial Image, part 2 T h e L i t h o g r a p h y T u t o r (April 1993) The Formation of an Aerial Image, part 2 Chris A. Mack, FINLE Technologies, Austin, Texas In the last issue, we began to described how a projection system

More information

Division C Optics KEY Captains Exchange

Division C Optics KEY Captains Exchange Division C Optics KEY 2017-2018 Captains Exchange 1.) If a laser beam is reflected off a mirror lying on a table and bounces off a nearby wall at a 30 degree angle, what was the angle of incidence of the

More information