Programmable Digital Controller for Multi-Output DC-DC Converters with a. Time-Shared Inductor

Size: px
Start display at page:

Download "Programmable Digital Controller for Multi-Output DC-DC Converters with a. Time-Shared Inductor"

Transcription

1 Programmable Digital ontroller for Multi-Output D-D onverters with a I. Introduction Time-Shared Inductor Modern portable electronics applications require multiple low-power supplies for their functional blocks. For example, digital still cameras (DS) and cell phones, different supply voltages are used for a LD screen, handset lightg, and camera flash. Most of existg solutions combe multiple switchg converters to supply the different blocks. In these systems each power stage uses a separate ductor, power switches and controller resultg relatively large part number and size of the power module. Multiple output flyback converters are commonly used to mimize the number of components and simplify control. This solution suffers from poor output regulation due to cross-regulation problems [1]. In addition, switchg transistor is exposed to excessive voltage stress []. Analog designs described [3,4] use pulsefrequency modulation (PFM) controllers and share one ductor between several output stages to provide improved voltage regulation. However, both of these solutions, output voltages are fixed and implementation of advanced power management techniques through dynamic output voltages adjustment [3,4] is not a simple task. Even though the flexibility of digital hardware is known, portable applications, digital PFM controllers for multiple-output supplies have not been commonly used, mostly due to their high power consumption that often exceeds the power delivered to the s. In this paper we troduce a new low power digital controller architecture that provides tight regulation of multiple programmable supply voltages for light s and allows simple implementation of power management L techniques portable applications. As Q 0 Vout1(t) H1Vout1(t) H1 Vref1(t) 3µH Q 1 shown Fig.1, similar to analog 1 HVout(t) Vout(t) solutions [3,4], the controller utilizes the V H Vref(t) Q fact that PFM the ductor current is Vout3(t) H3Vout3(t) H3 discontues hence it can be time-shared Vref3(t) Q 3 3 between multiple outputs. H4Vout4(t) Vout4(t) H4 Vref4(t) Besides output voltages, this solution, Q 4 4 the on-times of power switchers can c also be dynamically changed. Therefore 0 (t) tr1(t) tonlut 6-bit c 1 (t) c (t) c 3 (t) c 4 (t) tr(t) Programmable tr3(t) tr4(t) the switchg frequency, maximum on-time logic V DA LUT currents stress, and output ripple can Σ DA also be directly controlled. In the followg section we briefly Fig.1 Sgle ductor multi-output D-D converter based on a Digital PFM expla operation of the time-shared controller Filter 1of 5

2 multi-output digital PFM controller. Section III describes novel low-power architectures of basic functional blocks. Experimental results obtaed with a prototype utilizg the new architecture are shown Section IV and Section V summarizes the ma results. II. System Operation The power supply of Fig.1 is a four-output buck converter controlled with the new digital PFM controller. The output voltages are regulated usg a set of four comparators and programmable on-time logic. The reference voltages of the comparators are programmed by Σ- digital-to-analog converters (DA) and compared to output voltages. When an output voltage v outi (t) falls below reference, comparator Q i sends a signal to on-time logic that resolves possible signal conflicts and turns on the correspondg transistor Q i and the ma switch Q 0 simultaneously, allowg output capacitor i to be charged for a fixed period t on_i. Durg this time all other transistors are disabled and if signals from other comparators occur, they are registered and served based on the order of occurrence. The diodes D 1 to D 3 prevent cross-conduction from high to low output voltage stages. The output v out1 (t) is reserved for the lowest output and does not require a diode. III. Architectures of Basic Functional Blocks All the blocks of the multi-output controller are designed with two specific goals md. They are constructed to be mostly digital and to have very low power consumption, comparable to the state of the art analog solutions [3,4]. Therefore, the proposed architecture can be easily transferred from one implementation technology to another and used various low-power applications. A. Programmable On-Time Logic Programmable On-time logic of Fig. consists of a block named stage selector, two look up tables (LUT), 4-to-1 demultiplexer, and only one programmable delay le. c 0 (t) c 1 (t) c (t) c 3 (t) c 4 (t) tr 1 (t) Based on the trigger signals tr(t) received from output tr (t) sel[1:0] DEMUX Stage tr comparators, stage selector creates a -bit signal sel. Selector 3 (t) 4:1 tr 4 (t) t This signal is fed to puts of 4-word LUTs that c(t) on (t) start produce bary values t on [n] and V ref [n] for the delay Delay Le t on [5:0] le and Σ- DA (Fig.1), respectively. The select signal also controls the 4-to-1 demultiplexer which distributes signal c(t), of duration t on (t) to appropriate power switchers. While one trigger signal is served, stage selector also monitors outputs of the other comparators and processes any request after the ongog task is completed. t on_1 [n] t on_ [n] t on_3 [n] t on_4 [n] t on LUT v DA1 [n] v DA [n] v DA3 [n] v DA4 [n] Fig.. Programmable on-time logic v DA [9:0] DAs LUT of 5

3 ton[0] ton[1] ton[] ton[3] ton[4] ton[5] A1. Delay Le Instead of usg a counter that requires an external clock signal and, some cases, consumes significant amount of power, to create on time, a programmable delay-le [5] is used. The delay le has very simple low-power structure and does not need a high frequency external clock. Figure 3 shows a 6-bit delay le composed of three terconnected 4-to-1 multiplexers and a set of 64 delay cells. The cells are connected to the multiplexer a start logarithmic fashion. One, four, and sixteen, delay cells are connected between each two successive puts of MUX1, MUX1 MUX, and MUX3, respectively. The on-time value t on [n] is connected to the control puts of the multiplexers. Two least significant bits are tied to MUX1, two most significant bits to MUX MUX3, and the remag ones to MUX. After start signal, created by stage selector of Fig., goes 16 delay cells high the signal propagates through t on [1:0] cells and MUX1, MUX3 then passes through t on [3:] x 4 cells and MUX and fally goes through t on [5:4] x 16 cells before appearg at the end output. In this way programmable on-time signal is created. Fig.3. Segmented Delay-Le B. Programmable Voltage eference To mimize power consumption and complexity of the system only one sgle-bit Σ- DA is used to provide programmable reference values for all four comparators. Furthermore, power consumption of Σ- DA is v (t) mimized by operatg it only durg a short V ref Σ Q Vref1(t) 1 portion of each switchg period. Bandgap eference As shown Fig.4 the programmable voltage Q Vref(t) reference consists of four filters, control v[n] 1-bit transistors, voltage reference (bangap), Σ- v DA [n] Σ MOD Q 3 modulator and digital logic that provides clk and... enable signals. The sigma-delta modulates the voltage v Σ- (t) to result the average value proportional to the product V ref x v DA [n], where v DA [n] is provided from the programmable on-time logic of Fig.. This voltage is passed to the enable clk Fig.4. Σ- DA Block Diagram Q 4 c 1 (t) c (t) c 3 (t) c 4 (t) ontrol signals from on-time logic unit Vref3(t) Vref4(t) 3of 5

4 filter through transistor Q i, selected with high value of correspondg signal c i (t), also provided by on-time logic. Durg this period enable signal is high and the Σ- modulator is clocked with a high frequency signal, locally created by the rg oscillator. When signal c i (t) goes low, the rg oscillator is disabled to reduce power consumption. In addition, the transistor Q i, turns off leavg high impedance across the filter and keepg the output capacitor voltage, V ref_i (t), constant. The capacitor voltage is refreshed when the control signal c i (t) is active aga. It should be noted that the operation of Σ- durg the voltage refreshment does not affect the output comparator sce the comparison is always performed prior the refreshment. Figure 5 shows the block diagram of a nd order Σ- modulator, which has a put and produces a sglebit output modulated value. This architecture v DA [n] is based on a well-known error feedback structure [6] used noise-shapg analog-todigital and digital-to-analog converters. The modulator is fully digital and implemented with simple hardware. Delay blocks Z -1 are comprised of set of edge triggered D-flipflops, and the multiplication by is performed with a simple shift register. To reduce filterg delay block v[n] 1-bit bit 1-bit - + Fig.5. Σ- Modulator Block Diagram requirements, Σ- is clocked at a frequency significantly larger than switchg frequencies of the PFM controller allowg modulator to run through enough cycles to charge capacitor to the reference voltage. This implies a tradeoff between the mimum allowable on-time of the transistors and accuracy of voltage regulation. However, sce the output filter capacitor is recharged through each switchg cycle this effect is mimized. z -1 z -1 delay block IV. Experimental System and esults An experimental FPGA prototype based on the diagram shown Fig.1 was built. The put voltage is between 4 V and 8 V, and the outputs are regulated at 1.8V,.V,.5V and 3.3V. The output was varied between 1 ma and 50 ma matag discontuous current and PFM regulation for all operatg conditions. A. Steady State Operation Figure 6 demonstrates operation of the multi-output buck converter steady state. It can be seen that the simultaneous tight regulation of the output voltages is achieved and that the current gog through the ductor is discontuous and shared among different output stages. 4of 5

5 B. Dynamic Mode Operation of system when the digital reference and output are changg is shown Figs. 7 and 8. From Fig.7 it can be seen that the output voltage can be digitally programmed and dynamically changed. esults of the output change experiment are showed Fig.8. It can be seen that the system matas good output voltage regulation and that the crossconduction problems between neighborg stages does not exist. V out1 (t) = 1.8 V V out (t) =. V V out3 (t) =.5 V Inductor urrent Fig.6. Steady state operation of the multi-output buck converter with time shared ductor: h1, h3, and h4 output voltages; h-ductor current. Time scale is 5µs/div. V out (t) h : V out(t) h : h 1: V out1(t) h 1: V out1(t) DA Input u[n] h 4: c 1 (t) 0 ma 10 ma Fig.7. Transient response to change of digital voltage reference: D0 to D9 digital reference; h.1: correspondg output voltage change from 1.8V to.65v h.: The output voltage of a neighborg stage. Fig.8. Transient response to change between 0 ma and 10 ma: h.1: the output voltage of stage regulatg voltage at 1.8 V and operatg steady state; h.4: correspondg gate drive signals; h.: The output voltage of a neighborg stage. In the fal version of this paper additional experimental results will be provided, cludg the demonstration of the controller implemented on a chip, which is currently fabrication. The itial chip simulations verify very low power consumption comparable to state of the art analog solutions. V. onclusion A new programmable digital controller for low power multi-output dc-dc converters used battery powered devices is shown. The controller features unique very low-power architectures of basic functional blocks and significantly simplifies implementation of low-power management systems. 5of 5

Programmable Digital Controller for Multi-Output DC-DC Converters with a Time-Shared Inductor

Programmable Digital Controller for Multi-Output DC-DC Converters with a Time-Shared Inductor Programmable Digital Controller for Multi-Output DC-DC Converters with a ime-shared Inductor Amir Parayandeh, Andrija Stupar, Aleksandar Prodić Laboratory for Low-Power Management and Integrated SMPS University

More information

Single-Stage PFC Topology Employs Two-Transformer Approach For Improved Efficiency, Reliability, And Cost

Single-Stage PFC Topology Employs Two-Transformer Approach For Improved Efficiency, Reliability, And Cost Sgle-Stage PFC opology Employs wo-ransformer Approach For Improved Efficiency, Reliability, And Cost ISSUE: December 2013 by Fuxiang L, Independent Researcher, Sydney, Australia and Fuyong L, Hua Qiao

More information

A Digital Pulse-Width Modulation Controller for High-Temperature DC-DC Power Conversion Application

A Digital Pulse-Width Modulation Controller for High-Temperature DC-DC Power Conversion Application A Digital Pulse-Width Modulation Controller for High-Temperature DC-DC Power Conversion Application Jgjg Lan, Jun Yu, Muthukumaraswamy Annamalai Arasu Abstract This paper presents a digital non-lear pulse-width

More information

Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads

Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads 006 IEEE COMPEL Workshop, Rensselaer Polytechnic Institute, Troy, NY, USA, July 6-9, 006 Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads Nabeel

More information

A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications

A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications Jinwen Xiao Angel Peterchev Jianhui Zhang Prof. Seth Sanders Power Electronics Group Dept. of

More information

A Fast-Transient Wide-Voltage-Range Digital- Controlled Buck Converter with Cycle- Controlled DPWM

A Fast-Transient Wide-Voltage-Range Digital- Controlled Buck Converter with Cycle- Controlled DPWM A Fast-Transient Wide-Voltage-Range Digital- Controlled Buck Converter with Cycle- Controlled DPWM Abstract: This paper presents a wide-voltage-range, fast-transient all-digital buck converter using a

More information

High Boost Hybrid Transformer DC DC Converter for Photovoltaic Module Applications

High Boost Hybrid Transformer DC DC Converter for Photovoltaic Module Applications High Boost Hybrid Transformer DC DC Converter for Photovoltaic Module Applications K.Umadevi,Associate Professor umaraj2000@gmail.com Abstract This paper presents a nonisolated, high boost ratio hy-brid

More information

Module 3. DC to DC Converters. Version 2 EE IIT, Kharagpur 1

Module 3. DC to DC Converters. Version 2 EE IIT, Kharagpur 1 Module 3 DC to DC Converters ersion EE IIT, Kharagpur Lesson 4 C uk and Sepic Converter ersion EE IIT, Kharagpur Instructional objective On completion the student will be able to Compare the advantages

More information

Modified Bridgeless Rectifier for PFC with Minimized Stress

Modified Bridgeless Rectifier for PFC with Minimized Stress Modified Bridgeless Rectifier for PFC with Mimized Stress *1 aya Sagar Kommukuri, 2 Kanungo Barada Mohanty, 3 Kishor Thakre, 4 Aditi Chatterjee, 5 Ashwi Kumar Nayak 12345 Department of Electrical Engeerg

More information

Noise and Error Analysis and Optimization of a CMOS Latched Comparator

Noise and Error Analysis and Optimization of a CMOS Latched Comparator Available onle at www.sciencedirect.com Procedia Engeerg 30 (2012) 210 217 International Conference on Communication Technology and System Design 2011 Noise and Error Analysis and Optimization of a CMOS

More information

Converter IC for Cellular Phone. Mode Digitally-Controlled Buck. A 4 µa-quiescent-current Dual- Applications. Jianhui Zhang Prof.

Converter IC for Cellular Phone. Mode Digitally-Controlled Buck. A 4 µa-quiescent-current Dual- Applications. Jianhui Zhang Prof. A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications Jinwen Xiao Angel Peterchev Jianhui Zhang Prof. Seth Sanders Power Electronics Group Dept. of

More information

Transformer less Dc Dc Converter with high Step up Voltage gain Method

Transformer less Dc Dc Converter with high Step up Voltage gain Method International Journal of Engeerg Trends and Technology- olumeissue3- Transformer less Dc Dc Converter with high Step up oltage ga Method KRaja Gopal, B Gavaskar Reddy, Menkateswara Reddy 3, SSrikanth 4,

More information

Digital PWM IC Control Technology and Issues

Digital PWM IC Control Technology and Issues Digital PWM IC Control Technology and Issues Prof. Seth R. Sanders (sanders@eecs.berkeley.edu) Angel V. Peterchev Jinwen Xiao Jianhui Zhang EECS Department University of California, Berkeley Digital Control

More information

A High Efficiency, Soft Switching DC DC Converter with Adaptive Current-Ripple Control for Portable Applications

A High Efficiency, Soft Switching DC DC Converter with Adaptive Current-Ripple Control for Portable Applications 1 A High Efficiency, Soft Switchg DC DC Converter with Adaptive Current-Ripple Control for Portable Applications Siyuan Zhou, Student Member, IEEE, and Gabriel A. Rcón-Mora, Senior Member, IEEE Georgia

More information

Plug-and-Play Digital Controllers for Scalable Low-Power SMPS

Plug-and-Play Digital Controllers for Scalable Low-Power SMPS Plug-and-Play Digital Controllers for Scalable Low-Power SMPS Jason Weinstein and Aleksandar Prodić Laboratory for Low-Power Management and Integrated SMPS Department of Electrical and Computer Engineering

More information

Resonant System Design with Coarse Grained Pipelines

Resonant System Design with Coarse Grained Pipelines Resonant System Design with Coarse Graed Pipeles Visvesh S. Sathe, Marios C. Papaefthymiou Department of EECS, University of Michigan Ann Arbor, USA vssathe,marios @eecs.umich.edu Abstract In this report,

More information

A Low-Power Mixed-Signal Current-Mode DC-DC Converter Using a One-Bit Σ DAC

A Low-Power Mixed-Signal Current-Mode DC-DC Converter Using a One-Bit Σ DAC A Low-Power Mixed-Signal Current-Mode DC-DC Converter Using a One-Bit Σ DAC Olivier Trescases, Zdravko Lukić, Wai Tung Ng and Aleksandar Prodić ECE Department, University of Toronto 10 King s College Road,

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

Digital Controller for High-Frequency Rectifiers with Power Factor Correction Suitable for

Digital Controller for High-Frequency Rectifiers with Power Factor Correction Suitable for Digital Controller for High-Frequency Rectifiers with Power Factor Correction Suitable for On-Chip Implementation Aleksandar Prodic Laboratory for Low-Power Management and Integrated SMPS ECE Department-

More information

EVALUATION KIT AVAILABLE 28V, PWM, Step-Up DC-DC Converter PART V IN 3V TO 28V

EVALUATION KIT AVAILABLE 28V, PWM, Step-Up DC-DC Converter PART V IN 3V TO 28V 19-1462; Rev ; 6/99 EVALUATION KIT AVAILABLE 28V, PWM, Step-Up DC-DC Converter General Description The CMOS, PWM, step-up DC-DC converter generates output voltages up to 28V and accepts inputs from +3V

More information

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 15.7 A 4µA-Quiescent-Current Dual-Mode Buck Converter IC for Cellular Phone Applications Jinwen Xiao, Angel Peterchev, Jianhui Zhang, Seth Sanders

More information

Digital-Controlled Power Factor Corrector with Transition Current Mode Control without Zero Current Detection

Digital-Controlled Power Factor Corrector with Transition Current Mode Control without Zero Current Detection PEDS009 Digital-Controlled Power Factor Corrector wi Transition Current Mode Control wi Zero Current Detection Chia-An Yeh, Kung-M Ho, Yen-Sh ai Center for Power Electronics Technology, National Taipei

More information

ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS

ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS Aleksandar Radić, S. M. Ahsanuzzaman, Amir Parayandeh, and Aleksandar Prodić

More information

CHAPTER 2 DESIGN AND MODELING OF POSITIVE BUCK BOOST CONVERTER WITH CASCADED BUCK BOOST CONVERTER

CHAPTER 2 DESIGN AND MODELING OF POSITIVE BUCK BOOST CONVERTER WITH CASCADED BUCK BOOST CONVERTER 17 CHAPTER 2 DESIGN AND MODELING OF POSITIVE BUCK BOOST CONVERTER WITH CASCADED BUCK BOOST CONVERTER 2.1 GENERAL Designing an efficient DC to DC buck-boost converter is very much important for many real-time

More information

CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER

CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER 59 CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER 4.1 Conventional Method A buck-boost converter circuit is a combination of the buck converter topology and a boost converter

More information

DIGITAL controllers that can be fully implemented in

DIGITAL controllers that can be fully implemented in 500 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 23, NO. 1, JANUARY 2008 Programmable Analog-to-Digital Converter for Low-Power DC DC SMPS Amir Parayandeh, Student Member, IEEE, and Aleksandar Prodić,

More information

IN MODERN low-power applications such as mobile devices,

IN MODERN low-power applications such as mobile devices, 970 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 28, NO. 2, FEBRUARY 2013 Mixed-Signal-Controlled Flyback-Transformer- Based Buck Converter With Improved Dynamic Performance and Transient Energy Recycling

More information

±32V Triple-Output Supply for LCDs, CCDs and LEDs Includes Fault Protection in a 3mm 3mm QFN

±32V Triple-Output Supply for LCDs, CCDs and LEDs Includes Fault Protection in a 3mm 3mm QFN L DESIGN FEATURES ±32V Triple-Output Supply for LCDs, CCDs and LEDs Includes Fault Protection in a 3mm 3mm QFN by Eko T. Lisuwandi Introduction The task of designing a battery powered system with multiple

More information

Digital Electronics 8. Multiplexer & Demultiplexer

Digital Electronics 8. Multiplexer & Demultiplexer 1 Module -8 Multiplexers and Demultiplexers 1 Introduction 2 Principles of Multiplexing and Demultiplexing 3 Multiplexer 3.1 Types of multiplexer 3.2 A 2 to 1 multiplexer 3.3 A 4 to 1 multiplexer 3.4 Multiplex

More information

[Mojlish, 3(2): February, 2014] ISSN: Impact Factor: 1.852

[Mojlish, 3(2): February, 2014] ISSN: Impact Factor: 1.852 JESRT NTERNATONAL JOURNAL OF ENGNEERNG SENES & RESEARH TEHNOLOGY Design of a Photovoltaic Grid-Tied nverter Employg a Dual-Stage Boost onverter and a Transformer-Less Step-Down ircuit Sameer Ahmed Khan

More information

Digital PWM IC Control Technology and Issues

Digital PWM IC Control Technology and Issues Digital PWM IC Control Technology and Issues Prof. Seth R. Sanders Angel V. Peterchev Jinwen Xiao Jianhui Zhang Department of EECS University of California, Berkeley Digital Control Advantages implement

More information

Chipcon SmartRF CC1020 Low Power RF Transceiver Circuit Analysis

Chipcon SmartRF CC1020 Low Power RF Transceiver Circuit Analysis March 28, 2005 Chipcon SmartRF CC1020 Low Power RF Transceiver Circuit Analysis Table of Contents Introduction... Page 1 List of Figures... Page 3 Device Summary Sheet... Page 7 Top Level Diagram (Analog)...Tab

More information

Sepic Topology Based High Step-Up Step down Soft Switching Bidirectional DC-DC Converter for Energy Storage Applications

Sepic Topology Based High Step-Up Step down Soft Switching Bidirectional DC-DC Converter for Energy Storage Applications IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 12, Issue 3 Ver. IV (May June 2017), PP 68-76 www.iosrjournals.org Sepic Topology Based High

More information

Regulated 3.3V/5.0V Step-Up/Step-Down Charge Pump

Regulated 3.3V/5.0V Step-Up/Step-Down Charge Pump 19-2107; Rev 0; 7/01 Regulated 3.3V/5.0V Step-Up/Step-Down White LED Power Flash Memory Supplies Battery-Powered Applications Miniature Equipment PCMCIA Cards 3.3V to 5V Local Conversion Applications Backup-Battery

More information

EVALUATION KIT MANUAL FOLLOWS DATA SHEET Step-Up DC-DC Converters with Precise, Adaptive Current Limit for GSM PART* MAX1687EUE MAX1687ESA MAX1688EUE

EVALUATION KIT MANUAL FOLLOWS DATA SHEET Step-Up DC-DC Converters with Precise, Adaptive Current Limit for GSM PART* MAX1687EUE MAX1687ESA MAX1688EUE 19-1426; Rev 0; 2/99 EALUATI KIT MANUAL FOLLOWS DATA SHEET Step-Up DC-DC Converters with General Description The / step-up DC-DC converters deliver up to 2W from a single Li-Ion or three NiMH cells. The

More information

Reduction of Peak Input Currents during Charge Pump Boosting in Monolithically Integrated High-Voltage Generators

Reduction of Peak Input Currents during Charge Pump Boosting in Monolithically Integrated High-Voltage Generators Reduction of Peak Input Currents during Charge Pump Boosting in Monolithically Integrated High-Voltage Generators Jan Doutreloigne Abstract This paper describes two methods for the reduction of the peak

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

Digital Controller Chip Set for Isolated DC Power Supplies

Digital Controller Chip Set for Isolated DC Power Supplies Digital Controller Chip Set for Isolated DC Power Supplies Aleksandar Prodic, Dragan Maksimovic and Robert W. Erickson Colorado Power Electronics Center Department of Electrical and Computer Engineering

More information

Topic: New Primary Side Regulation Constant Voltage Solution in LED Driver

Topic: New Primary Side Regulation Constant Voltage Solution in LED Driver Topic: New Primary Side Regulation Constant Voltage Solution in LED Driver Jin Zhu, Yupu Tao Abstract: A new primary side regulation constant voltage (PSR-CV) two-stage solution is proposed in this paper

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

FAN5602 Universal (Step-Up/Step-Down) Charge Pump Regulated DC/DC Converter

FAN5602 Universal (Step-Up/Step-Down) Charge Pump Regulated DC/DC Converter August 2009 FAN5602 Universal (Step-Up/Step-Down) Charge Pump Regulated DC/DC Converter Features Low-Noise, Constant-Frequency Operation at Heavy Load High-Efficiency, Pulse-Skip (PFM) Operation at Light

More information

D1 GS SS12 AIC AIC AIC AIC VOUT GND. One Cell Step-Up DC/DC Converter

D1 GS SS12 AIC AIC AIC AIC VOUT GND. One Cell Step-Up DC/DC Converter 1-Cell, 3-Pin, Step-Up DC/DC Converter FEATURES A Guaranteed Start-Up from less than 0.9 V. High Efficiency. Low Quiescent Current. Less Number of External Components needed. Low Ripple and Low Noise.

More information

FPGA Based Digital Controller for DC-DC Buck Converter

FPGA Based Digital Controller for DC-DC Buck Converter FPGA Based Digital Controller for DC-DC Buck Converter Mamatha S 1, Shubha Rao K 2, Veena S Chakravarthi 3 P.G Student, Dept of EEE, B.N.M Institute of Technology, Bengaluru, Karnataka, India 1. Associate

More information

3110(A) SGM3110 MicroPower Regulated Charge Pump FEATURES GENERAL DESCRIPTION PIN CONFIGURATION (TOP VIEW) APPLICATIONS TYPICAL APPLICATION

3110(A) SGM3110 MicroPower Regulated Charge Pump FEATURES GENERAL DESCRIPTION PIN CONFIGURATION (TOP VIEW) APPLICATIONS TYPICAL APPLICATION GENERAL DESCRIPTION The SGM30 is a MicroPower switched capacitor voltage converter that delivers a regulated output. No external inductor is required for operation. The SGM30 can deliver up to 00mA to

More information

SIMULATION AND EVALUATION OF SWITCHED INDUCTOR BOOST DC-DC CONVERTER FOR PV APPLICATION

SIMULATION AND EVALUATION OF SWITCHED INDUCTOR BOOST DC-DC CONVERTER FOR PV APPLICATION SIMULATION AND EALUATION OF SWITCHED INDUCTOR BOOST DC-DC CONERTER FOR P APPLICATION Ahmad Saudi Samosir Department of Electrical Engeerg, University of Lampung, Bandar Lampung, Indonesia E-Mail: ahmad.saudi@eng.unila.ac.id

More information

Chapter 3 : Closed Loop Current Mode DC\DC Boost Converter

Chapter 3 : Closed Loop Current Mode DC\DC Boost Converter Chapter 3 : Closed Loop Current Mode DC\DC Boost Converter 3.1 Introduction DC/DC Converter efficiently converts unregulated DC voltage to a regulated DC voltage with better efficiency and high power density.

More information

A Low Power Small Area Multi-bit Quantizer with A Capacitor String in Sigma-Delta Modulator

A Low Power Small Area Multi-bit Quantizer with A Capacitor String in Sigma-Delta Modulator A Low Power Small Area Multi-bit uantizer with A Capacitor String in Sigma-Delta Modulator Xuia Wang, Jian Xu, and Xiaobo Wu Abstract An ultra-low power area-efficient fully differential multi-bit quantizer

More information

MIC2287. Features. General Description. Applications. Typical Application. 1.2MHz PWM White LED Driver with OVP in 2mm 2mm MLF and Thin SOT-23

MIC2287. Features. General Description. Applications. Typical Application. 1.2MHz PWM White LED Driver with OVP in 2mm 2mm MLF and Thin SOT-23 MIC2287 1.2MHz PWM White LED Driver with OVP in 2mm 2mm MLF and Thin SOT-23 General Description The MIC2287 is a 1.2MHz pulse width modulated (PWM), boost-switching regulator that is optimized for constantcurrent,

More information

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright Geared Oscillator Project Final Design Review Nick Edwards Richard Wright This paper outlines the implementation and results of a variable-rate oscillating clock supply. The circuit is designed using a

More information

Dual 1.5MHz, 1A Synchronous Step-Down Regulator

Dual 1.5MHz, 1A Synchronous Step-Down Regulator Dual 1.5MHz, 1A Synchronous Step-Down Regulator FP6166 General Description The FP6166 is a high efficiency current mode dual synchronous buck PWM DC-DC regulator. The internal generated 0.6V precision

More information

CHAPTER 7 HARDWARE IMPLEMENTATION

CHAPTER 7 HARDWARE IMPLEMENTATION 168 CHAPTER 7 HARDWARE IMPLEMENTATION 7.1 OVERVIEW In the previous chapters discussed about the design and simulation of Discrete controller for ZVS Buck, Interleaved Boost, Buck-Boost, Double Frequency

More information

New Current-Sense Amplifiers Aid Measurement and Control

New Current-Sense Amplifiers Aid Measurement and Control AMPLIFIER AND COMPARATOR CIRCUITS BATTERY MANAGEMENT CIRCUIT PROTECTION Mar 13, 2000 New Current-Sense Amplifiers Aid Measurement and Control This application note details the use of high-side current

More information

VLSI Implementation of Cascaded Integrator Comb Filters for DSP Applications

VLSI Implementation of Cascaded Integrator Comb Filters for DSP Applications UCSI University From the SelectedWorks of Dr. oita Teymouradeh, CEng. 26 VLSI Implementation of Cascaded Integrator Comb Filters for DSP Applications oita Teymouradeh Masuri Othman Available at: https://works.bepress.com/roita_teymouradeh/3/

More information

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam MIDTERM EXAMINATION 2011 (October-November) Q-21 Draw function table of a half adder circuit? (2) Answer: - Page

More information

Ciência e Natura ISSN: Universidade Federal de Santa Maria Brasil

Ciência e Natura ISSN: Universidade Federal de Santa Maria Brasil Ciência e Natura ISSN: 0100-8307 cienciaenaturarevista@gmail.com Universidade Federal de Santa Maria Brasil Abbasi Morad, Milad Jalalian; Reza Talebiyan, Seyyed; Pakniyat, Ebrahim Design of New High-Performance

More information

A study of high-frequency-fed AC-DC converter with different DC-DC topologies

A study of high-frequency-fed AC-DC converter with different DC-DC topologies Title A study of high-frequency-fed AC-DC converter with different DC-DC topologies Author(s Yang, Z; Kiratipongvoot, S; ee, CK; Ho, SS Citation The 015 IEEE PES Workshop on Emergg Technologies: Wireless

More information

Exercise on Analog Circuits

Exercise on Analog Circuits PHYS 331: Junior Physics Laboratory I Exercise on Analog Circuits In this exercise you will assemble and operate some simple transistor and op-amp circuits. The examples chosen are typical of those used

More information

AAT4296/98 Five/Six Channel Push/Pull I/O Expander

AAT4296/98 Five/Six Channel Push/Pull I/O Expander General Description Features SmartSwitch The AAT4296/98 SmartSwitch is a member of AnalogicTech's Application Specific Power MOS- FET (ASPM ) product family. The AAT4296/98 is comprised of five/six push/pull

More information

DC/DC-Converters in Parallel Operation with Digital Load Distribution Control

DC/DC-Converters in Parallel Operation with Digital Load Distribution Control DC/DC-Converters in Parallel Operation with Digital Load Distribution Control Abstract - The parallel operation of power supply circuits, especially in applications with higher power demand, has several

More information

Active Shields: A New Approach to Shielding Global Wires

Active Shields: A New Approach to Shielding Global Wires Active Shields: A New Approach to Shieldg Global Wires Himanshu Kaul University of Michigan, Ann Arbor hkaul@eng.umich.edu Dennis Sylvester University of Michigan, Ann Arbor dennis@eecs.umich.edu David

More information

MIC2297. General Description. Features. Applications. Typical Applications. 40V PWM Boost Regulator White LED Driver

MIC2297. General Description. Features. Applications. Typical Applications. 40V PWM Boost Regulator White LED Driver 40 PWM Boost Regulator White LED Driver General Description The is a 600KHz PWM boost-switchg regulator that is optimized for drivg 6-0 series white LEDs. With its ternal 40 switch and a guaranteed switch

More information

16.2 DIGITAL-TO-ANALOG CONVERSION

16.2 DIGITAL-TO-ANALOG CONVERSION 240 16. DC MEASUREMENTS In the context of contemporary instrumentation systems, a digital meter measures a voltage or current by performing an analog-to-digital (A/D) conversion. A/D converters produce

More information

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 07, 2015 ISSN (online): 2321-0613 Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse

More information

1.5MHz, 3A Synchronous Step-Down Regulator

1.5MHz, 3A Synchronous Step-Down Regulator 1.5MHz, 3A Synchronous Step-Down Regulator FP6165 General Description The FP6165 is a high efficiency current mode synchronous buck PWM DC-DC regulator. The internal generated 0.6V precision feedback reference

More information

Extending Cell Phone Battery Life with the ISL9109

Extending Cell Phone Battery Life with the ISL9109 Extending Cell Phone Battery Life with the ISL9109 Application Note AN1424.0 In this day and age practically everyone is armed with a cell phone. Some of these smart phones not only work as a cell phone

More information

Power Management for Portable Audio

Power Management for Portable Audio Power Management for Portable Audio Applications O. Trescases 1, G. Wei 1, A. Prodic 1, W. T. Ng 1, K. Takasuka 2, H. Nishio 3 1, Canada Electrical & Computer Engineering 10 King s College Road Toronto

More information

Prisma and Laser Link DWDM Passives for LGX-Compatible Systems

Prisma and Laser Link DWDM Passives for LGX-Compatible Systems Optical Passive Components Prisma and Laser Lk DWDM Passives for LGX-Compatible Systems Description Competitive pressures and rapidly acceleratg user demand for teractive services are forcg cable operators

More information

A 100-dB gain-corrected delta-sigma audio DAC with headphone driver

A 100-dB gain-corrected delta-sigma audio DAC with headphone driver Analog Integr Circ Sig Process (2007) 51:27 31 DOI 10.1007/s10470-007-9033-0 A 100-dB gain-corrected delta-sigma audio DAC with headphone driver Ruopeng Wang Æ Sang-Ho Kim Æ Sang-Hyeon Lee Æ Seung-Bin

More information

Small, Dynamic Voltage Management Solution Based on TPS62300 High-Frequency Buck Converter and DAC6571

Small, Dynamic Voltage Management Solution Based on TPS62300 High-Frequency Buck Converter and DAC6571 Application Report SLVA196 October 2004 Small, Dynamic Voltage Management Solution Based on Christophe Vaucourt and Markus Matzberger PMP Portable Power ABSTRACT As cellular phones and other portable electronics

More information

MIC YML MIC YML

MIC YML MIC YML MIC2292/93 High Frequency PWM White LED Drivers with Internal Schottky Diode and OP General Description The MIC2292 and MIC2293 are high frequency, Pulse Width Modulator (PWM) boost regulators optimized

More information

MIC2287. Features. General Description. Applications. Typical Application CMDSH MHz PWM White LED Driver with OVP in 2mm 2mm MLF and Thin SOT-23

MIC2287. Features. General Description. Applications. Typical Application CMDSH MHz PWM White LED Driver with OVP in 2mm 2mm MLF and Thin SOT-23 MIC2287 1.2MHz PWM White LED Driver with OVP in 2mm 2mm MLF and Thin SOT-23 General Description The MIC2287 is a 1.2MHz pulse width modulated (PWM), boost-switching regulator that is optimized for constantcurrent,

More information

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency Jamie E. Reinhold December 15, 2011 Abstract The design, simulation and layout of a UMAINE ECE Morse code Read Only Memory and transmitter

More information

Single-Stage High-Power-Factor Electronic Ballast with a Symmetrical Class-DE Resonant Rectifier

Single-Stage High-Power-Factor Electronic Ballast with a Symmetrical Class-DE Resonant Rectifier Sgle-Stage High-Power-Factor Electronic Ballast with 49 JPE 1-3-6 http://dx.doi.org/1.6113/jpe.1.1.3.49 Sgle-Stage High-Power-Factor Electronic Ballast with a Symmetrical Class-DE Resonant Rectifier Chaar

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

1.5MHz, 2A Synchronous Step-Down Regulator

1.5MHz, 2A Synchronous Step-Down Regulator 1.5MHz, 2A Synchronous Step-Down Regulator General Description The is a high efficiency current mode synchronous buck PWM DC-DC regulator. The internal generated 0.6V precision feedback reference voltage

More information

Features. Applications

Features. Applications White LED Driver Internal Schottky Diode and OVP General Description The is a PWM (pulse width modulated), boostswitching regulator that is optimized for constant-current white LED driver applications.

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

+5 V Fixed, Adjustable Low-Dropout Linear Voltage Regulator ADP3367*

+5 V Fixed, Adjustable Low-Dropout Linear Voltage Regulator ADP3367* a FEATURES Low Dropout: 50 mv @ 200 ma Low Dropout: 300 mv @ 300 ma Low Power CMOS: 7 A Quiescent Current Shutdown Mode: 0.2 A Quiescent Current 300 ma Output Current Guaranteed Pin Compatible with MAX667

More information

DESIGN AND FPGA IMPLEMENTATION OF SLIDING MODE CONTROLLER FOR BUCK CONVERTER

DESIGN AND FPGA IMPLEMENTATION OF SLIDING MODE CONTROLLER FOR BUCK CONVERTER DESIGN AND FPGA IMPLEMENTATION OF SLIDING MODE CONTROLLER FOR BUCK CONVERTER 1 ABHINAV PRABHU, 2 SHUBHA RAO K 1 Student (M.Tech in CAID), 2 Associate Professor Department of Electrical and Electronics,

More information

Low Noise Microwave amplifiers with improved input matching applicable in active array antennas

Low Noise Microwave amplifiers with improved input matching applicable in active array antennas JAE, VO. 17, NO.1, 15 JOURNA OF AIED EECTROMAGNETIM ow Noise Microwave amplifiers with improved put matchg applicable active array antennas M.. Tonev Technical University of ofia, Bulgaria Faculty of Tlecommunication,

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

Synchronous Step-Up PFM DC/DC Converter

Synchronous Step-Up PFM DC/DC Converter Synchronous Step-Up PFM DC/DC Converter FEATURES Operating Input Voltage Range: 0.9 V ~ 5.5 V Output Voltage Range: 1.8 V~5.0 V with (0.1 V increments, accuracy ± 2.0%) Built-in Switching NMOSFET (0.6

More information

PART TOP VIEW. OUT 3.3V AT 100mA POK. Maxim Integrated Products 1

PART TOP VIEW. OUT 3.3V AT 100mA POK. Maxim Integrated Products 1 9-600; Rev ; 6/00 General Description The is a buck/boost regulating charge pump that generates a regulated output voltage from a single lithium-ion (Li+) cell, or two or three NiMH or alkaline cells for

More information

Fan in: The number of inputs of a logic gate can handle.

Fan in: The number of inputs of a logic gate can handle. Subject Code: 17333 Model Answer Page 1/ 29 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

Analog to Digital Conversion

Analog to Digital Conversion Analog to Digital Conversion 02534567998 6 4 2 3 4 5 6 ANALOG to DIGITAL CONVERSION Analog variation (Continuous, smooth variation) Digitized Variation (Discrete set of points) N2 N1 Digitization applied

More information

Lecture 18. BUS and MEMORY

Lecture 18. BUS and MEMORY Lecture 18 BUS and MEMORY Slides of Adam Postula used 12/8/2002 1 SIGNAL PROPAGATION FROM ONE SOURCE TO MANY SINKS A AND XOR Signal le - FANOUT = 3 AND AND B BUS LINE Signal Driver - Sgle Source Many Sks

More information

Buck converter. Rohit Modak and M. Shojaei Baghini. May 1, VLSI Research Consortium Indian Institute of Technology, Bombay

Buck converter. Rohit Modak and M. Shojaei Baghini. May 1, VLSI Research Consortium Indian Institute of Technology, Bombay VLSI Research Consortium Indian Institute of Technology, Bombay May 1, 2008 Table of contents 1 Introduction Block Diagram of Buck Converter Current Trends in Power Management Issues in Buck Converter

More information

Supply Voltage Supervisor TL77xx Series. Author: Eilhard Haseloff

Supply Voltage Supervisor TL77xx Series. Author: Eilhard Haseloff Supply Voltage Supervisor TL77xx Series Author: Eilhard Haseloff Literature Number: SLVAE04 March 1997 i IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to

More information

Performance Comparison of Pass Transistor and CMOS Logic Configuration based De-Multiplexers

Performance Comparison of Pass Transistor and CMOS Logic Configuration based De-Multiplexers Performance Comparison of Pass Transistor and CMO Logic Configuration based De-Multiplexers Arun Pratap ingh Rathod, Praveen Lakhera, A. K. Baliga, Poornima Mittal and Brijesh Kumar Department of Electronics

More information

FAN MHz TinyBoost Regulator with 33V Integrated FET Switch

FAN MHz TinyBoost Regulator with 33V Integrated FET Switch FAN5336 1.5MHz TinyBoost Regulator with 33V Integrated FET Switch Features 1.5MHz Switching Frequency Low Noise Adjustable Output Voltage Up to 1.5A Peak Switch Current Low Shutdown Current:

More information

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology CMOS Digital Logic Design with Verilog Chapter1 Digital IC Design &Technology Chapter Overview: In this chapter we study the concept of digital hardware design & technology. This chapter deals the standard

More information

Bridgeless Cuk Power Factor Corrector with Regulated Output Voltage

Bridgeless Cuk Power Factor Corrector with Regulated Output Voltage Bridgeless Cuk Power Factor Corrector with Regulated Output Voltage Ajeesh P R 1, Prof. Dinto Mathew 2, Prof. Sera Mathew 3 1 PG Scholar, 2,3 Professors, Department of Electrical and Electronics Engineering,

More information

Features. Applications

Features. Applications White LED Driver Internal Schottky Diode and OVP General Description The is a PWM (pulse width modulated), boostswitching regulator that is optimized for constant-current white LED driver applications.

More information

Prisma DWDM Passives. Features. Optical Passive Components

Prisma DWDM Passives. Features. Optical Passive Components Optical Passive Components Prisma DWDM Passives Description Competitive pressures and rapidly acceleratg user demand for teractive services are forcg cable operators to upgrade their network architectures.

More information

150 ma, Low Dropout, CMOS Linear Regulator ADP1710/ADP1711

150 ma, Low Dropout, CMOS Linear Regulator ADP1710/ADP1711 5 ma, Low Dropout, CMOS Linear Regulator ADP7/ADP7 FEATURES Maximum output current: 5 ma Input voltage range: 2.5 V to 5.5 V Light load efficient IGND = 35 μa with zero load IGND = 4 μa with μa load Low

More information

A Fast, Self-stabilizing, Boost DC-DC Converter - Sliding-mode Vs Hysteretic Controls

A Fast, Self-stabilizing, Boost DC-DC Converter - Sliding-mode Vs Hysteretic Controls A Fast, Self-stabilizing, Boost DC-DC Converter - Sliding-mode Vs Hysteretic Controls Neeraj Keskar Advisor: Prof. Gabriel A. Rincón-Mora Analog and Power IC Design Lab School of Electrical and Computer

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

High-Frequency Digital PWM Controller IC for DC DC Converters

High-Frequency Digital PWM Controller IC for DC DC Converters 438 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 18, NO. 1, JANUARY 2003 High-Frequency Digital PWM Controller IC for DC DC Converters Benjamin J. Patella, Aleksandar Prodić, Student Member, IEEE, Art

More information

PRODUCTION DATA SHEET

PRODUCTION DATA SHEET The is a step down buck regulator with a synchronous rectifier. All MOSFET switches and compensation components are built in. The synchronous rectification eliminates the need of an external Schottky diode

More information

1.5MHz, 1.5A, Step-down DC-DC Converter. Features

1.5MHz, 1.5A, Step-down DC-DC Converter. Features General Description The is a high efficiency step-down DC-DC voltage converter. The chip operation is optimized by peak-current mode architecture with built-in synchronous power MOSFET switchers. The oscillator

More information