Active Shields: A New Approach to Shielding Global Wires

Size: px
Start display at page:

Download "Active Shields: A New Approach to Shielding Global Wires"

Transcription

1 Active Shields: A New Approach to Shieldg Global Wires Himanshu Kaul University of Michigan, Ann Arbor hkaul@eng.umich.edu Dennis Sylvester University of Michigan, Ann Arbor dennis@eecs.umich.edu David Blaauw University of Michigan, Ann Arbor blaauw@umich.edu ABSTRACT A new shieldg scheme, active shieldg, is proposed for reducg delays on terconnects. As opposed to conventional (passive) shieldg, the active shieldg approach helps to speed up signal propagation on a wire by ensurg -phase switchg of adjacent nets. Results show that the active shieldg scheme improves performance by up to 16% compared to passive shields and up to 9% compared to unshielded wires. When signal slopes at the end of the le are compared, savgs of up to 38% and 7% can be achieved when compared to passive shields and unshielded wires, respectively. Categories and Subject Descriptors B.7. [Integrated Circuits]: Design Aids Lay, Placement and Rg. General Terms Design 1. INTRODUCTION With creasg die sizes and shrkg wire dimensions, wires are becomg longer and more resistive and at the same time clock frequencies are risg. Robust on-chip global signalg the face of heightened couplg capacitance is begng to place fundamental limits on global clock frequencies. The growg couplg capacitance creases the amount of functional noise troduced on a wire as well as enhances the sensitivity of wire delays to aggressor switchg. In the worst-case scenario, when the aggressor is switchg at the same time as the victim but the opposite direction, the victim must charge an effective couplg capacitance twice that of the nomal one (accordg to [1], a factor of 3 results from the absolute worst case scenario). In a recent 1 GHz commercial microprocessor, designers found a 50 MHz reduction achievable clock frequency due to couplg capacitance effects []. To alleviate the problem of noise jected by aggressors, shields can be placed on either or both sides [3]. These are ground or power (V dd ) les placed between two wires to prevent direct couplg between them. Other methods clude creasg wire spacg to reduce the couplg capacitance or creasg wire widths to reduce the ratio of couplg to ground Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted with fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. GLSVLSI 0, April 18-19, 00, New York, New York, USA. COPYRIGHT 00 ACM /0/0004 $5.00. (a) (b) Figure 1. a) Passive shields b) Active shields capacitance. Buffer sertion algorithms already exist to reduce RC delays and noise on long les [4,5]. The shieldg methodology used today is passive that shield wires are tied statically to V dd or ground. A more useful approach would guarantee a best-case switchg scenario for a wire. The concept of active shieldg uses shields on either side of the wire that help to speed up signal propagation through the Miller effect. The Miller effect states that the effective couplg capacitance between two nodes is zero if the transitions at the two nodes occur at the same time and the same direction (a best case scenario can result a factor of 1). Figures 1a and 1b illustrate the concept of active shieldg. Allowg the shields on either side of a wire to switch the same direction helps to reduce the total le capacitance. This approach is scalable sce the creasg le resistance can be offset by the creasg couplg capacitance. We demonstrate that usg active shields as proposed this work results better performance when compared to other methods like passive shieldg and wire spacg/sizg under the same area constrat and capacitive load on the previous stage. Comparisons with buffer sertion are not made sce this approach is not meant to replace the buffer sertion methodology but to complement it. For very long les buffers would still be required to meet performance requirements. The rest of this paper is structured as follows. Section describes the active shieldg approach and develops a simplified analytical model of the theory behd it. Section 3 describes the simulation setup used to compare active shieldg to other approaches, and provides results and optimization approaches to obta the maximum gas from active shieldg. Section 4 details the limitations of this work and future work needed to overcome these limitations. Fally, Section 5 provides conclusions. This work was supported by the MARCO/DARPA Gigascale Silicon Research Center and by Semiconductor Research Corporation (SRC) contract CL 11

2 . ACTIVE SHIELDING The active shieldg approach uses the effective Miller capacitance to reduce the total capacitance on a le. If the transitions on wires adjacent to the wire of terest can be ensured to switch simultaneously and -phase, the effective couplg capacitance on the middle wire is reduced. This will result smaller delays for the wire of terest. At the same time the neighborg wires act as shields when the le is quiet. To ensure -phase switchg of the side wires, they must be driven by the same put signal as the middle wire. This approach can be used to speed up signal propagation two cases a wire with shields on its sides and a wire wide enough to be split up to three wires while matag the same footprt (to avoid area penalties). In the case of the wide (unshielded) wire, the total ground capacitance of the middle wire is reduced (and the effective couplg capacitance is reduced through the Miller effect) while the resistance of the wire creases. The decrease effective capacitance on the le must overcompensate for the crease resistance due to the splittg of the wire for this approach to be practical. The unshielded wire will be referred to as the fat wire henceforth. When a fat wire is converted to an actively shielded one, the noise immunity will improve sce any aggressors that were previously coupled to the fat wire will no longer be coupled directly to the middle wire. Sce the put signal now must drive three verters stead of one (as the two reference cases) we must consider the capacitive load presented to the prior stage. The approach we follow when comparg active shieldg to passive shieldg and unshielded fat wires is to set the total device width of all three new drivers to that of the origal sgle driver. In order to obta analytical sight to the tradeoffs volved keepg the capacitive load on the previous stage the same, we used a simple model (based on work [1]) to compare the delays of the passively shielded and actively shielded configurations. The model assumes there are no coupled aggressors. Figures a and b show the equivalent circuits used for modelg the delays usg passive and active shields (the load capacitance is not cluded the model). The labeled wire parasitics are denoted as per unit length. The equivalent resistance of an verter with NMOS width of 1µm is R 0. Thus, if the driver size the passively shielded configuration is W, then the driver resistance is R drv =R 0 /W. (1) The resistances of the drivers for the side and middle wires are R drv_side =R 0 /W side () R drv _ mid =R 0 /W mid (3) The constrat that is used when convertg from passive to active shields is W=W mid +*W side. Delay due to passive shields (for a wire of unit length) is given by T passive = 0.693R drv (C gmid +*C c ) R wmid *(C gmid + *C c ) (4) Delay due to active shields is given by T active =0.693R drv_mid (C gmid + *k*c c ) R wmid *(C gmid + *k*c c ), (5) k = 1 - t rmid /t rside (6) V V and R drv R drv_side R drv_mid R drv_side Rw_mid Cg_mid Figure a. Passive shields Rw_mid Cg_mid Figure b. Active shields eol1 eol3 eol1 eol3 t rmid =.R drv_mid (C gmid + *k mid *C c ) + 0.9R wmid * (C gmid + *k mid *C c ) (7) t rside =.R drv_side (C gside +k side *C c )+0.9R wside *(C gside +k side *C c ) (8) Obtag the rise/fall times on the middle and side wires (t rmid, t rside ) volves iterations sce these times depend on the effective couplg capacitance durg switchg and the effective couplg capacitance depends on the rise/fall times. Sce the k term is less than one, the effective couplg capacitance on the middle le becomes smaller. To mimize this capacitance, the drivers of the side les should be strengthened to reduce their slew rates. In this case, the effective resistance of the middle driver creases (sce the total W is fixed), creatg an herent tradeoff designg for active shields. Thus, R drv_mid (7) creases while the C c term decreases as drivg power is shifted to the side wires. We expect that there is a particular distribution of driver sizes for which the delay of the middle wire can be optimized. This optimal pot depends heavily on the values of the ground and couplg capacitances. As the C c term creases, it is more favorable to shift more of the drivg capability onto the side wires. Figure 3 shows the delays usg active shields normalized to that with passive shields. The total driver size (W/L of NMOS) was fixed at 00 while the size of the driver for the middle le ( the actively shielded case) was swept. The model does not yield realistic trends for driver sizes less than half the total driver size but it does pot to the existence of an optimal delay pot. The figure shows that as the couplg capacitance rises (by decreasg 113

3 Delay (normalized to passive shield) Delay vs Middle driver size Passive shields Increasg Middle Driver Size (W/L of NMOS) µm.5 µm 0.8 µm 1 3 Figure 4a. Setup for fat wire scheme. L 1 3 Figure 3. Delay with active shields as driver distribution is changed for different couplg capacitances the spacg or creasg the thickness) the delay gas compared to the passively shielded case crease. There are cases where the effective decrease capacitance does not offset the decrease drivg power of the middle wire. In such cases the actively shielded configuration is always slower than the passively shielded one. To get the maximum gas of active shieldg, the couplg capacitance must be maximized (by mimizg the spacg between the side and middle wires). 3. SIMULATION RESULTS 3.1 Simulation Setup Typically fat wires are used to reduce signal delays by limitg the le resistance. For our analysis, the proposed and alternative approaches are compared to the fat wire terms of the achievable delay. In all approaches, the footprt of the signal re is kept the same so as not to cur any area penalty. The total capacitive load on the previous stage (which drives ) is kept the same by matag the total device width of the driver(s) used for transmittg the signal. The only area overhead curred with active shieldg is due to the splittg of one large driver to three smaller drivers. Figures 4-6 show the simulation setups for three cases fat wire, active shieldg, and passive shieldg. The signal is applied at, while 1 and 3 provide switchg activity for the aggressors (1 and 3 switch simultaneously the opposite direction of ). Another configuration we considered was the case which the wire of terest ( Figure 4a) is reduced width while the spacg to the aggressors is creased by the same amount. This represents the wire sizg/spacg methodology to reduce delays and jected noise on wires, under an area constrat. This leads to less couplg capacitance but at the same time creases the ground capacitance. The resistance on the wire creases rapidly but, unlike the active shieldg case, the entire current drive can be used for one wire. In contrast the active shieldg approach aims to reduce the ground capacitance by creasg the couplg capacitance (which can be effectively reduced durg switchg). 0.8µm 0.8µm.5µm 0.8µm 0.8µm C Cg C 1 3 Figure 4b. Cross-section of terconnect structure for fat wire scheme µm µm Figure 5a. Setup for actively shielded wire. 0.8µm 0.8µm _agg Cg side W side Cg mid L.5µm S W mid Cg side W side 0.8µm 0.8µm _agg t h t h 1 3 Figure 5b. Cross section of terconnect structure for actively and passively shielded wire. 114

4 1 0.8 µm i n Voltage (V) (active shield) shield (active shield) (passive shield) µm Figure 6. Setup for passively shielded wire. For the active shieldg approach, the delay depends on C c, C g, and the resistance of each of the three wires (all of which depend on the wire widths) along with the optimal driver size distribution among the three wires used for signal transmission. Optimizg the C c, C g, and le resistances yields optimal widths of the three wires under a constant area constrat. This is further constraed by the mimum width and spacg rules for a particular technology. The simulations considered different technologies (denoted by different wire thicknesses t) with the ter-level dielectric (ILD) thickness (h) fixed at 0.55 times the wire thickness for each technology. The width of the footprt of the wire was kept constant at.5um for each technology and the aspect ratio was fixed at.4 (which dictated the mimum width and spacg). For each technology five different configurations which the wire could be split were used to compare the passively and actively shielded wires. The spacg between the wires was always kept mimum to maximize C c and mimize ground capacitance which is not affected through active shieldg. For each wire sizg configuration, the optimal delay for the actively shielded case was obtaed by sweepg the driver size distributions. The le lengths simulated were 7.5mm and 3.75mm. For the 7.5mm (3.75mm) le length, the driver size beg driven by node was 00X (15X) and the aggressor driver sizes were 00X (15X) each. Delays were measured from to and slopes were measured as 10-90% delays at node. The load capacitance ( ) corresponds to the put capacitance of a 5X verter. All capacitance values this paper were extracted usg a -D field solver. To calculate power, a switchg activity of 0.5 for an 800MHz operatg frequency was applied at node and the current drawn from the supplies for drivg the signal was measured. 3. Analysis of Results For the active and passive shieldg approaches the delay and slopes were normalized to that of the fat wire for each technology (represented by a wire thickness). The results presented show the delays and slopes obtaed with optimized wire and driver sizg configurations ( the case of active shieldg). Typical waveforms for both active and passive shieldg are shown Figure 7. Active shieldg clearly demonstrates superior delay and slew rate characteristics, which is the strength of the active shieldg approach. Further, Figures 8-11 show that the optimal delay usg active shields is always better than that of the fat wire and the passive shields. The absolute numbers for delays of passive and active shields with or with switchg aggressors are very similar. However, for the fat wire they can vary as much as % (delay) and 13% (slope) from the case when the Tim e (ps) Figure 7. Voltage waveforms at the end of the wire for active and passive shields. The signal on the active shields is also shown. Delay / Slope (normalized to fat wire) Delay/slope (normalized to fat wire) Delay / Slope (Normalized to fat wire) D elay (A ctive shields) D elay (Passive shields) Fat w ire (D elay and slope) S lope (A ctive shields) S lope (Passive shields) W ire Thickness (t) (m m ) Figure 8. Optimal delay/slope vs. wire thickness for copper wire of length 7.5mm and aggressors switchg D elay (Active shields) S lope (Active shields) D elay (Passive shields) S lope (Passive shields) Fat w ire (delay and slope) W ire Thickness (t) (m m ) Figure 9. Optimal delay/slope vs. wire thickness for copper wire of length 7.5mm and aggressors not switchg D elay (A ctive shields) S lope (A ctive shields) D elay (Passive shields) S lope (P assive shields) Fat w ire (delay and slope) W ire Thickness (t) (m m ) Figure 10. Optimal delay/slope vs. wire thickness for alumum wire of length 7.5mm and aggressors switchg. 115

5 Delay / Slope (normalized to fat wire) 0.70 Wire Thickness (t) (m m) Delay (Active shields) Slope (Active shields) Delay (Passive shields) Slope (Passive shields) Fat wire (delay and slope) Figure 11. Optimal delay/slope vs. wire thickness for copper wire of length 3.75mm and aggressors switchg. Delay / Slope (normalized to fat wire) Delay (th wire) Slope (th wire) Fat wire (delay and slope) Wire thickness (t) (m m) Figure 1. Delay/slope vs. wire thickness for narrowed middle wire (copper) of length 7.5mm and aggressors switchg. Power (normalized to fat wire) Wire Thickness (t) (m m) Fat wire Active shields Passive shields Figure 13. Power consumption for a 7.5mm copper wire with aggressors switchg. aggressors are not switchg to the case of aggressors simultaneously switchg the opposite direction. Therefore, gas (when compared to fat wires) are reduced when there is no worst-case switchg on the aggressors especially as wires become less resistive (greater thickness). For the same reason passive shields show worsened results as wires become less resistive when there is no switchg on the aggressors. All the results dicate that as the total resistance of the wire creases, the gas through active shieldg reduce. This is demonstrated by the reduction gas for each setup as the wire thickness is reduced. For the same reason, the 7.5mm le with alumum shows less gas than the copper 7.5mm le and the 3.75mm long copper le shows more gas than the 7.5mm copper le. In current 0.18 and 0.13µm processes, repeaters are typically placed every 3-5mm to reduce delay these distances maximize the usefulness of active shieldg. For the case which the fat wire is reduced width to crease spacg from the aggressors, simulations (Figure 1) show that the delay ga is the same as active shieldg but the improvements slopes are much less than with active shieldg. The noise analysis shows that the noise immunity this case is comparable to that of active shields but not as good as passive shields. Figure 13 shows the power consumption for the configurations which the delay was optimized. The power consumption was always smallest for the passively shielded case. This is due to the fact that the actively shielded case extra drivg power is consumed by the er wires fightg the aggressors. The fat wire always consumes the most power sce it has the largest capacitance associated with it and must also fight the aggressors. A noise analysis confirmed that the active shieldg results enhanced noise immunity compared to the fat wire. However, active shields are not as good at screeng functional noise as passive shields. The drivers on the side wires present a highly resistive path to the ground and result degraded shieldg properties. 3.3 Driver and Wire Sizg Issues Convertg a fat wire or a wire with passive shields on its sides to one with active shields while matag the same footprt and capacitive load on the previous stage volves two optimizations wire widths and spacgs and distribution of the total driver size over the three wires. As was shown Figure 3, maximizg the couplg capacitance results smaller delays (due to the crease the useful Miller effect). So, the spacg between the side and middle wires should be kept mimum (as dictated by the technology constrats.) The simulation data dicates that for long les, for which the terconnect delay becomes comparable to the gate delay, the optimal solution is obtaed with fatter middle wires sce the useful couplg effect is not able to overcome the delay due to le resistance. The middle wire is as wide as possible nearly all the cases simulated (this leads to mimum width side les). In a few cases when it is not as wide as possible, the difference delays between when it is widest and the optimal width is less than 6%. Thus, optimal (or near optimal) delays can be obtaed by keepg the middle wire as wide as possible. A figure of merit would be useful determg the potential gas from different wire sizgs. The optimal driver size distribution can be obtaed with the delay model described Section. Though the delay model is not accurate terms of the delay gas, the trends terms of optimal driver sizg are similar to the simulations. Figure14 shows the delay gas compared to passive shields for different technologies usg both the analytical model and SPICE simulations. There is a close match between the predicted optimal driver sizes from the model and SPICE simulations. Table 1 shows the optimal size of the middle driver obtaed through both methods for the setup used Figure 5a. Though the model does not consider aggressors, the optimal driver size distribution should be the same dependent of the presence of aggressors. This trend is shown the simulations as well. The right-most column dicates the maximum difference delays as a percentage of optimal delay (as 116

6 Delay (normalized to passive shield delay) Delay vs Middle driver size from model and simulation t=0.45um (Model) t=0.45um (Simulation) t=um (Model) 0.70 t=um (Simulation) t=um (Model) t=um (Simulation) Middle Driver Size (W/L of NMOS) Figure 14. Comparison of delay obtaed from model and simulation with active shields for various driver sizes. obtaed through simulations) if the optimal driver size predicted by the model is used. The differences are less than 1%. Once the optimal wire sizg is decided upon, obtag the optimal driver size distribution is a relatively simple task with this fairly accurate model. A major discrepancy between the model and the simulation is that the delays predicted by the model converge to the delays usg passive shields (when the drivers of the side wires become very weak) whereas the simulations they do not. The addition of a weak driver to a shield wire results a highly resistive path to the ground through the couplg capacitance. As a result, less current is jected by the middle wire to the couplg capacitances and the delay improves even when the useful Miller effect is negligible. An improvement delay (though not by the Miller effect) can be achieved just by addg very weak verters onto the shield wires. 4. LIMITATIONS AND FUTURE WORK The biggest limitation of this work is that ductive effects are not considered. The simulated cases volved long les which the resistance may dampen much of the ductive effects, matag the results validity. However, ductance may have a large impact on the feasibility of active shields for shorter and much fatter wires, which should be considered [6]. The wire sizg problem needs to be addressed to fd optimal wire sizes with resortg to simulations. Future work will consist of cludg ductive effects to the analysis and developg a figure of merit for analytically determg the optimal wire sizg. Table 1. Comparison of optimal driver sizes for active shields obtaed by model and SPICE. Wire Thickness (mm) 5. CONCLUSIONS The concept of active shieldg was proposed. Simulation results show that convertg a fat wire to an actively shielded wire results lower delays, faster signal slopes, lower power consumption, and better noise immunity. Actively shielded wires were shown to have better performance terms of delay and signal slopes than passively shielded wires at the expense of higher power consumption and slightly degraded noise properties. A simple yet fairly accurate model was developed to obta optimal driver size distribution for actively shielded wires but the optimal wire sizg issue needs to be resolved. Future work should consider on-chip ductance. REFERENCES Optimal middle driver size(s) (W/L of NMOS) Model Simulation Maximum difference delays (%) [1] A.B. Kahng, S. Muddu, and E. Sarto, "On switch factor based analysis of coupled RC terconnects," Proc. ACM/IEEE Design Automation Conference, pp , 000. [] P.K. Green, A GHz IA-3 architecture microprocessor implemented on 0.18? m technology with alumum terconnect, Proc. International Solid-State Circuits Conference, pp , 000. [3] A. Vittal and M. Marek-Sadowska, Crosstalk reduction for VLSI, IEEE Trans. on Computer-Aided Design, vol. 16, pp , [4] L.P. P.P. van Gneken, Buffer placement distributed RC-tree networks for mimal Elmore delay, IEEE International Symposium on Circuits and Systems, vol., pp , [5] C.J. Alpert, A. Devgan and S.T. Quay, Buffer Insertion for Noise and Delay Optimization, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 18, pp , Nov [6] Y. Massoud, S. Majors, T. Bustami, and J. White, Lay techniques for mimizg on-chip terconnect self-ductance, Proc. ACM/IEEE Design Automation Conference, pp ,

CROSS-COUPLING capacitance and inductance have. Performance Optimization of Critical Nets Through Active Shielding

CROSS-COUPLING capacitance and inductance have. Performance Optimization of Critical Nets Through Active Shielding IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 51, NO. 12, DECEMBER 2004 2417 Performance Optimization of Critical Nets Through Active Shielding Himanshu Kaul, Student Member, IEEE,

More information

VOUT. A: n subthreshold region V SS V TN V IN V DD +V TP

VOUT. A: n subthreshold region V SS V TN V IN V DD +V TP Chapter 3: The CMOS verter This chapter is devoted to analyzg the static (DC) and dynamic (transient) behavior of the CMOS verter. The ma purpose of this analysis is to lay a theoretical ground for a dynamic

More information

Resonant System Design with Coarse Grained Pipelines

Resonant System Design with Coarse Grained Pipelines Resonant System Design with Coarse Graed Pipeles Visvesh S. Sathe, Marios C. Papaefthymiou Department of EECS, University of Michigan Ann Arbor, USA vssathe,marios @eecs.umich.edu Abstract In this report,

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis

Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis Masanori Hashimoto Dept. Communications & Computer Engineering Kyoto University hasimoto@i.kyoto-u.ac.jp Yuji Yamada Dept. Communications

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

Noise and Error Analysis and Optimization of a CMOS Latched Comparator

Noise and Error Analysis and Optimization of a CMOS Latched Comparator Available onle at www.sciencedirect.com Procedia Engeerg 30 (2012) 210 217 International Conference on Communication Technology and System Design 2011 Noise and Error Analysis and Optimization of a CMOS

More information

A Single-supply True Voltage Level Shifter

A Single-supply True Voltage Level Shifter A Sgle-supply True Voltage evel Shifter Rajesh Garg Gagandeep Mallarapu Sunil P Khatri (rajeshgarg at tamu.edu) (gagandeepm at tamu.edu) (sunilkhatri at tamu.edu) Department of Electrical & Computer Engeerg,

More information

43.2. Figure 1. Interconnect analysis using linear simulation and superposition

43.2. Figure 1. Interconnect analysis using linear simulation and superposition 43.2 Driver Modeling and Alignment for Worst-Case Delay Noise Supamas Sirichotiyakul, David Blaauw, Chanhee Oh, Rafi Levy*, Vladimir Zolotov, Jingyan Zuo Motorola Inc. Austin, TX, *Motorola Semiconductor

More information

Power Distribution Paths in 3-D ICs

Power Distribution Paths in 3-D ICs Power Distribution Paths in 3-D ICs Vasilis F. Pavlidis Giovanni De Micheli LSI-EPFL 1015-Lausanne, Switzerland {vasileios.pavlidis, giovanni.demicheli}@epfl.ch ABSTRACT Distributing power and ground to

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting Jonggab Kil Intel Corporation 1900 Prairie City Road Folsom, CA 95630 +1-916-356-9968 jonggab.kil@intel.com

More information

Impact of Low-Impedance Substrate on Power Supply Integrity

Impact of Low-Impedance Substrate on Power Supply Integrity Impact of Low-Impedance Substrate on Power Supply Integrity Rajendran Panda and Savithri Sundareswaran Motorola, Austin David Blaauw University of Michigan, Ann Arbor Editor s note: Although it is tempting

More information

Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling

Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 10, OCTOBER 2001 1587 Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling Takashi Sato, Member, IEEE, Dennis

More information

Designs of 2P-2P2N Energy Recovery Logic Circuits

Designs of 2P-2P2N Energy Recovery Logic Circuits Research Journal of Applied Sciences, Engeerg and Technology 5(21): 4977-4982, 213 ISSN: 24-7459; e-issn: 24-7467 Maxwell Scientific Organization, 213 Submitted: July 31, 212 Accepted: September 17, 212

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

cq,reg clk,slew min,logic hold clk slew clk,uncertainty

cq,reg clk,slew min,logic hold clk slew clk,uncertainty Clock Network Design for Ultra-Low Power Applications Mingoo Seok, David Blaauw, Dennis Sylvester EECS, University of Michigan, Ann Arbor, MI, USA mgseok@umich.edu ABSTRACT Robust design is a critical

More information

Variable-Segment & Variable-Driver Parallel Regeneration Techniques for RLC VLSI Interconnects

Variable-Segment & Variable-Driver Parallel Regeneration Techniques for RLC VLSI Interconnects Variable-Segment & Variable-Driver Parallel Regeneration Techniques for RLC VLSI Interconnects Falah R. Awwad Concordia University ECE Dept., Montreal, Quebec, H3H 1M8 Canada phone: (514) 802-6305 Email:

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Driver Modeling and Alignment for Worst-Case Delay Noise

Driver Modeling and Alignment for Worst-Case Delay Noise IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 11, NO. 2, APRIL 2003 157 Driver Modeling and Alignment for Worst-Case Delay Noise David Blaauw, Member, IEEE, Supamas Sirichotiyakul,

More information

Programmable Digital Controller for Multi-Output DC-DC Converters with a. Time-Shared Inductor

Programmable Digital Controller for Multi-Output DC-DC Converters with a. Time-Shared Inductor Programmable Digital ontroller for Multi-Output D-D onverters with a I. Introduction Time-Shared Inductor Modern portable electronics applications require multiple low-power supplies for their functional

More information

(2) v max = (3) III. SCENARIOS OF PROCESS ADVANCE AND SIMULATION SETUP

(2) v max = (3) III. SCENARIOS OF PROCESS ADVANCE AND SIMULATION SETUP Quantitative Prediction of On-chip Capacitive and Inductive Crosstalk Noise and Discussion on Wire Cross-Sectional Area Toward Inductive Crosstalk Free Interconnects Yasuhiro Ogasahara, Masanori Hashimoto,

More information

High Boost Hybrid Transformer DC DC Converter for Photovoltaic Module Applications

High Boost Hybrid Transformer DC DC Converter for Photovoltaic Module Applications High Boost Hybrid Transformer DC DC Converter for Photovoltaic Module Applications K.Umadevi,Associate Professor umaraj2000@gmail.com Abstract This paper presents a nonisolated, high boost ratio hy-brid

More information

Worst Case RLC Noise with Timing Window Constraints

Worst Case RLC Noise with Timing Window Constraints Worst Case RLC Noise with Timing Window Constraints Jun Chen Electrical Engineering Department University of California, Los Angeles jchen@ee.ucla.edu Lei He Electrical Engineering Department University

More information

MIC2297. General Description. Features. Applications. Typical Applications. 40V PWM Boost Regulator White LED Driver

MIC2297. General Description. Features. Applications. Typical Applications. 40V PWM Boost Regulator White LED Driver 40 PWM Boost Regulator White LED Driver General Description The is a 600KHz PWM boost-switchg regulator that is optimized for drivg 6-0 series white LEDs. With its ternal 40 switch and a guaranteed switch

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Modeling of Coplanar Waveguide for Buffered Clock Tree

Modeling of Coplanar Waveguide for Buffered Clock Tree Modeling of Coplanar Waveguide for Buffered Clock Tree Jun Chen Lei He Electrical Engineering Department Electrical Engineering Department University of California, Los Angeles University of California,

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

On the Interaction of Power Distribution Network with Substrate

On the Interaction of Power Distribution Network with Substrate On the Interaction of Power Distribution Network with Rajendran Panda, Savithri Sundareswaran, David Blaauw Rajendran.Panda@motorola.com, Savithri_Sundareswaran-A12801@email.mot.com, David.Blaauw@motorola.com

More information

TFA: A Threshold-Based Filtering Algorithm for Propagation Delay and Output Slew Calculation of High-Speed VLSI Interconnects

TFA: A Threshold-Based Filtering Algorithm for Propagation Delay and Output Slew Calculation of High-Speed VLSI Interconnects TFA: A Threshold-Based Filtering Algorithm for Propagation Delay and Output Slew Calculation of High-Speed VLSI Interconnects S. Abbaspour, A.H. Ajami *, M. Pedram, and E. Tuncer * Dept. of EE Systems,

More information

A Jitter Attenuating Timing Chain

A Jitter Attenuating Timing Chain In preparation for submission to ASYNC 7. Please do not distribute A Jitter Attenuatg Timg Cha Suwen Yang, Student, IEEE, and Mark R. Greenstreet, Member {swyang, mrg}@cs.ubc.ca Φ long wire long wire...

More information

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers Muhammad Nummer and Manoj Sachdev University of Waterloo, Ontario, Canada mnummer@vlsi.uwaterloo.ca, msachdev@ece.uwaterloo.ca

More information

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic M.Manikandan 2,Rajasri 2,A.Bharathi 3 Assistant Professor, IFET College of Engineering, Villupuram, india 1 M.E,

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

Chapter 4. Problems. 1 Chapter 4 Problem Set

Chapter 4. Problems. 1 Chapter 4 Problem Set 1 Chapter 4 Problem Set Chapter 4 Problems 1. [M, None, 4.x] Figure 0.1 shows a clock-distribution network. Each segment of the clock network (between the nodes) is 5 mm long, 3 µm wide, and is implemented

More information

A Digital Pulse-Width Modulation Controller for High-Temperature DC-DC Power Conversion Application

A Digital Pulse-Width Modulation Controller for High-Temperature DC-DC Power Conversion Application A Digital Pulse-Width Modulation Controller for High-Temperature DC-DC Power Conversion Application Jgjg Lan, Jun Yu, Muthukumaraswamy Annamalai Arasu Abstract This paper presents a digital non-lear pulse-width

More information

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Mikhail Popovich and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester, Rochester,

More information

Signal Integrity Management in an SoC Physical Design Flow

Signal Integrity Management in an SoC Physical Design Flow Signal Integrity Management in an SoC Physical Design Flow Murat Becer Ravi Vaidyanathan Chanhee Oh Rajendran Panda Motorola, Inc., Austin, TX Presenter: Rajendran Panda Talk Outline Functional and Delay

More information

IEICE TRANS. FUNDAMENTALS, VOL.E86 A, NO.12 DECEMBER

IEICE TRANS. FUNDAMENTALS, VOL.E86 A, NO.12 DECEMBER IEICE TRANS. FUNDAMENTALS, VOL.E86 A, NO.12 DECEMBER 2003 2965 PAPER Special Section on VLSI Design and CAD Algorithms Crosstalk Noise Estimation for Generic RC Trees Masanori HASHIMOTO a), Regular Member,

More information

An amplifier with AGC for the 80 Mbit/s Optical Receiver of the CMS digital optical link

An amplifier with AGC for the 80 Mbit/s Optical Receiver of the CMS digital optical link An amplifier with AGC for the 80 Mbit/s Optical Receiver of the CMS digital optical lk F. Faccio, P. Moreira, A. Marchioro, K. Kloukas, M. Campbell CERN, 1211 Geneva 23, Switzerland Abstract An 80 Mbit/s

More information

An amplifier with AGC for the 80 Mbit/s Optical Receiver of the CMS digital optical link

An amplifier with AGC for the 80 Mbit/s Optical Receiver of the CMS digital optical link An amplifier with AGC for the 80 Mbit/s Optical Receiver of the CMS digital optical lk F. Faccio, P. Moreira, A. Marchioro, K. Kloukas, M. Campbell CERN, 1211 Geneva 23, Switzerland Abstract An 80 Mbit/s

More information

Accurate and Efficient Macromodel of Submicron Digital Standard Cells

Accurate and Efficient Macromodel of Submicron Digital Standard Cells Accurate and Efficient Macromodel of Submicron Digital Standard Cells Cristiano Forzan, Bruno Franzini and Carlo Guardiani SGS-THOMSON Microelectronics, via C. Olivetti, 2, 241 Agrate Brianza (MI), ITALY

More information

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design DesignCon 2009 Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design Hsing-Chou Hsu, VIA Technologies jimmyhsu@via.com.tw Jack Lin, Sigrity Inc.

More information

Single-Stage PFC Topology Employs Two-Transformer Approach For Improved Efficiency, Reliability, And Cost

Single-Stage PFC Topology Employs Two-Transformer Approach For Improved Efficiency, Reliability, And Cost Sgle-Stage PFC opology Employs wo-ransformer Approach For Improved Efficiency, Reliability, And Cost ISSUE: December 2013 by Fuxiang L, Independent Researcher, Sydney, Australia and Fuyong L, Hua Qiao

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

Managing Cross-talk Noise

Managing Cross-talk Noise Managing Cross-talk Noise Rajendran Panda Motorola Inc., Austin, TX Advanced Tools Organization Central in-house CAD tool development and support organization catering to the needs of all design teams

More information

Fast Statistical Timing Analysis By Probabilistic Event Propagation

Fast Statistical Timing Analysis By Probabilistic Event Propagation Fast Statistical Timing Analysis By Probabilistic Event Propagation Jing-Jia Liou, Kwang-Ting Cheng, Sandip Kundu, and Angela Krstić Electrical and Computer Engineering Department, University of California,

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

Ciência e Natura ISSN: Universidade Federal de Santa Maria Brasil

Ciência e Natura ISSN: Universidade Federal de Santa Maria Brasil Ciência e Natura ISSN: 0100-8307 cienciaenaturarevista@gmail.com Universidade Federal de Santa Maria Brasil Abbasi Morad, Milad Jalalian; Reza Talebiyan, Seyyed; Pakniyat, Ebrahim Design of New High-Performance

More information

Signal Integrity for Gigascale SOC Design. Professor Lei He ECE Department University of Wisconsin, Madison

Signal Integrity for Gigascale SOC Design. Professor Lei He ECE Department University of Wisconsin, Madison Signal Integrity for Gigascale SOC Design Professor Lei He ECE Department University of Wisconsin, Madison he@ece.wisc.edu http://eda.ece.wisc.edu Outline Capacitive noise Technology trends Capacitance

More information

Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE

Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE Abstract Employing

More information

Resonant Clock Design for a Power-efficient, High-volume. x86-64 Microprocessor

Resonant Clock Design for a Power-efficient, High-volume. x86-64 Microprocessor Resonant Clock Design for a Power-efficient, High-volume x86-64 Microprocessor Visvesh Sathe 1, Srikanth Arekapudi 2, Alexander Ishii 3, Charles Ouyang 2, Marios Papaefthymiou 3,4, Samuel Naffziger 1 1

More information

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Srinivasa R. Sridhara, Arshad Ahmed, and Naresh R. Shanbhag Coordinated Science Laboratory/ECE Department University of Illinois at

More information

Lecture 13: Interconnects in CMOS Technology

Lecture 13: Interconnects in CMOS Technology Lecture 13: Interconnects in CMOS Technology Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 10/18/18 VLSI-1 Class Notes Introduction Chips are mostly made of wires

More information

Minimization of Dynamic and Static Power Through Joint Assignment of Threshold Voltages and Sizing Optimization

Minimization of Dynamic and Static Power Through Joint Assignment of Threshold Voltages and Sizing Optimization Minimization of Dynamic and Static Power Through Joint Assignment of Threshold Voltages and Sizing Optimization David Nguyen, Abhijit Davare, Michael Orshansky, David Chinnery, Brandon Thompson, and Kurt

More information

Dynamic Threshold for Advanced CMOS Logic

Dynamic Threshold for Advanced CMOS Logic AN-680 Fairchild Semiconductor Application Note February 1990 Revised June 2001 Dynamic Threshold for Advanced CMOS Logic Introduction Most users of digital logic are quite familiar with the threshold

More information

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India, ISSN 2319-8885 Vol.03,Issue.30 October-2014, Pages:5968-5972 www.ijsetr.com Low Power and Area-Efficient Carry Select Adder THANNEERU DHURGARAO 1, P.PRASANNA MURALI KRISHNA 2 1 PG Scholar, Dept of DECS,

More information

On-Chip Inductance Modeling

On-Chip Inductance Modeling On-Chip Inductance Modeling David Blaauw Kaushik Gala ladimir Zolotov Rajendran Panda Junfeng Wang Motorola Inc., Austin TX 78729 ABSTRACT With operating frequencies approaching the gigahertz range, inductance

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS SURVEY ND EVLUTION OF LOW-POWER FULL-DDER CELLS hmed Sayed and Hussain l-saad Department of Electrical & Computer Engineering University of California Davis, C, U.S.. STRCT In this paper, we survey various

More information

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks Sanjay Pant, David Blaauw University of Michigan, Ann Arbor, MI Abstract The placement of on-die decoupling

More information

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment 1014 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 7, JULY 2005 Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment Dongwoo Lee, Student

More information

Performance Comparison of VLSI Adders Using Logical Effort 1

Performance Comparison of VLSI Adders Using Logical Effort 1 Performance Comparison of VLSI Adders Using Logical Effort 1 Hoang Q. Dao and Vojin G. Oklobdzija Advanced Computer System Engineering Laboratory Department of Electrical and Computer Engineering University

More information

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET 110 6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET An experimental study has been conducted on the design of fully depleted accumulation mode SOI (SIMOX) MOSFET with regard to hot carrier

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

Worst-Case Aggressor-Victim Alignment with Current-Source Driver Models

Worst-Case Aggressor-Victim Alignment with Current-Source Driver Models 3.1 Worst-Case Aggressor-Victim Alignment with Current-Source Driver Models Ravikishore Gandikota University of Michigan Li Ding Synopsys, CA David Blaauw University of Michigan Peivand Tehrani Synopsys,

More information

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage D. Aksin, M.A. Al- Shyoukh, F. Maloberti: "An 11 Bit Sub-Ranging SAR ADC with Input Signal Range of Twice Supply Voltage"; IEEE International Symposium on Circuits and Systems, ISCAS 2007, New Orleans,

More information

TSUNAMI: A Light-Weight On-Chip Structure for Measuring Timing Uncertainty Induced by Noise During Functional and Test Operations

TSUNAMI: A Light-Weight On-Chip Structure for Measuring Timing Uncertainty Induced by Noise During Functional and Test Operations TSUNAMI: A Light-Weight On-Chip Structure for Measuring Timing Uncertainty Induced by Noise During Functional and Test Operations Shuo Wang and Mohammad Tehranipoor Dept. of Electrical & Computer Engineering,

More information

Reduction of Peak Input Currents during Charge Pump Boosting in Monolithically Integrated High-Voltage Generators

Reduction of Peak Input Currents during Charge Pump Boosting in Monolithically Integrated High-Voltage Generators Reduction of Peak Input Currents during Charge Pump Boosting in Monolithically Integrated High-Voltage Generators Jan Doutreloigne Abstract This paper describes two methods for the reduction of the peak

More information

On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs

On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs Yarui Peng 1, Taigon Song 1, Dusan Petranovic 2, and Sung Kyu Lim 1 1 School of ECE, Georgia Institute of Technology,

More information

A Novel Continuous-Time Common-Mode Feedback for Low-Voltage Switched-OPAMP

A Novel Continuous-Time Common-Mode Feedback for Low-Voltage Switched-OPAMP 10.4 A Novel Continuous-Time Common-Mode Feedback for Low-oltage Switched-OPAMP M. Ali-Bakhshian Electrical Engineering Dept. Sharif University of Tech. Azadi Ave., Tehran, IRAN alibakhshian@ee.sharif.edu

More information

Impact of etch factor on characteristic impedance, crosstalk and board density

Impact of etch factor on characteristic impedance, crosstalk and board density IMAPS 2012 - San Diego, California, USA, 45th International Symposium on Microelectronics Impact of etch factor on characteristic impedance, crosstalk and board density Abdelghani Renbi, Arash Risseh,

More information

A gate sizing and transistor fingering strategy for

A gate sizing and transistor fingering strategy for LETTER IEICE Electronics Express, Vol.9, No.19, 1550 1555 A gate sizing and transistor fingering strategy for subthreshold CMOS circuits Morteza Nabavi a) and Maitham Shams b) Department of Electronics,

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

Jeffrey Davis Georgia Institute of Technology School of ECE Atlanta, GA Tel No

Jeffrey Davis Georgia Institute of Technology School of ECE Atlanta, GA Tel No Wave-Pipelined 2-Slot Time Division Multiplexed () Routing Ajay Joshi Georgia Institute of Technology School of ECE Atlanta, GA 3332-25 Tel No. -44-894-9362 joshi@ece.gatech.edu Jeffrey Davis Georgia Institute

More information

Gate Delay Estimation in STA under Dynamic Power Supply Noise

Gate Delay Estimation in STA under Dynamic Power Supply Noise Gate Delay Estimation in STA under Dynamic Power Supply Noise Takaaki Okumura *, Fumihiro Minami *, Kenji Shimazaki *, Kimihiko Kuwada *, Masanori Hashimoto ** * Development Depatment-, Semiconductor Technology

More information

A Low Power High Speed Class-B Buffer Amplifier for Flat Panel Display Application

A Low Power High Speed Class-B Buffer Amplifier for Flat Panel Display Application A ow ower igh Speed Class-B Buffer Amplifier for Flat anel Display Application Chih-Wen u Department of lectrical ngeerg, National Chi Nan University cwlu@ncnu.edu.tw Chung en ee Department of lectronics

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

Interconnect. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Interconnect. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. Interconnect Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Introduction Chips are mostly made of wires called

More information

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

A Novel Approach for High Speed and Low Power 4-Bit Multiplier IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 3 (Nov. - Dec. 2012), PP 13-26 A Novel Approach for High Speed and Low Power 4-Bit Multiplier

More information

Low Noise Microwave amplifiers with improved input matching applicable in active array antennas

Low Noise Microwave amplifiers with improved input matching applicable in active array antennas JAE, VO. 17, NO.1, 15 JOURNA OF AIED EECTROMAGNETIM ow Noise Microwave amplifiers with improved put matchg applicable active array antennas M.. Tonev Technical University of ofia, Bulgaria Faculty of Tlecommunication,

More information

Static Timing Analysis Taking Crosstalk into Account 1

Static Timing Analysis Taking Crosstalk into Account 1 Static Timing Analysis Taking Crosstalk into Account 1 Matthias Ringe IBM Deutschland Entwicklung GmbH, Schönaicher Str. 220 71032 Böblingen; Germany ringe@de.ibm.com Thomas Lindenkreuz Robert Bosch GmbH,

More information

Fast Placement Optimization of Power Supply Pads

Fast Placement Optimization of Power Supply Pads Fast Placement Optimization of Power Supply Pads Yu Zhong Martin D. F. Wong Dept. of Electrical and Computer Engineering Dept. of Electrical and Computer Engineering Univ. of Illinois at Urbana-Champaign

More information

EE141-Spring 2007 Digital Integrated Circuits

EE141-Spring 2007 Digital Integrated Circuits EE141-Spring 2007 Digital Integrated Circuits Lecture 22 I/O, Power Distribution dders 1 nnouncements Homework 9 has been posted Due Tu. pr. 24, 5pm Project Phase 4 (Final) Report due Mo. pr. 30, noon

More information

Deep Submicron Interconnect. 0.18um vs. 013um Interconnect

Deep Submicron Interconnect. 0.18um vs. 013um Interconnect Deep Submicron Interconnect R. Dept. of ECE University of British Columbia res@ece.ubc.ca 0.18um vs. 013um Interconnect 0.18µm 5-layer Al Metal Process 0.13µm 8-layer Cu Metal Process 1 Interconnect Scaling

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Performance Analysis of Two-Stage Op Amp using different BIST Techniques

Performance Analysis of Two-Stage Op Amp using different BIST Techniques Performance Analysis of Two-Stage Op Amp usg different BIST Techniques Chandrakala N 1, Padmaja Ja 2 1 Chandrakala N, M.Tech Student, Dept. of ECE, VLSI & ES, BNM Institute of Technology, Karnataka, India

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

On-Chip Inductance Modeling and Analysis

On-Chip Inductance Modeling and Analysis On-Chip Inductance Modeling and Analysis Kaushik Gala, ladimir Zolotov, Rajendran Panda, Brian Young, Junfeng Wang, David Blaauw Motorola Inc., Austin TX 78729 kaushik.gala@motorola.com Abstract With operating

More information

SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT. Hagay Guterman, CSR Jerome Toublanc, Ansys

SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT. Hagay Guterman, CSR Jerome Toublanc, Ansys SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT Hagay Guterman, CSR Jerome Toublanc, Ansys Speakers Hagay Guterman, CSR Hagay Guterman is a senior signal and power integrity

More information

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE Journal of Engineering Science and Technology Vol. 12, No. 12 (2017) 3344-3357 School of Engineering, Taylor s University DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE

More information

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Objectives In this lecture you will learn the following Ratioed Logic Pass Transistor Logic Dynamic Logic Circuits

More information

THROUGH-SILICON-VIA (TSV) is a popular choice to

THROUGH-SILICON-VIA (TSV) is a popular choice to 1900 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 12, DECEMBER 2014 Silicon Effect-Aware Full-Chip Extraction and Mitigation of TSV-to-TSV Coupling Yarui

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

Analysis of Sensitivity and Power Consumption of Chopping Techniques for Integrated Capacitive Sensor Interface Circuits

Analysis of Sensitivity and Power Consumption of Chopping Techniques for Integrated Capacitive Sensor Interface Circuits Journal of Low Power Electronics Applications Article Analys of Sensitivity Power Consumption of Choppg Techniques for Integrated Capacitive Sensor Interface Circuits Para Vejdani ID, arim Allida Frederic

More information