VOUT. A: n subthreshold region V SS V TN V IN V DD +V TP

Size: px
Start display at page:

Download "VOUT. A: n subthreshold region V SS V TN V IN V DD +V TP"

Transcription

1 Chapter 3: The CMOS verter This chapter is devoted to analyzg the static (DC) and dynamic (transient) behavior of the CMOS verter. The ma purpose of this analysis is to lay a theoretical ground for a dynamic itchg model from which the propagation delay between the output and put signals can be calculated. In the next chapter, this model will be extended to any CMOS logic gate, and not be restricted to verters only. In later sessions, these models will be used for estimatg the propagation delay along critical timg paths an tegrated circuit. A. Static properties The overall aim of this lecture is to derive a model for the verter voltage transfer characteristic (TC), i.e. for the output voltage response to a slowly creasg (quasi-static) put voltage. As a result of the lecture, we will have derived a model based on the square-law MOSFET model for calculatg the itchg voltage, i.e. the put voltage for which the output voltage of an verter flips from one state to the other (formal defition = ). The model can easily be extended for calculatg the itchg voltage of any logic gate by replacg the pull-up and pull-down networks by MOSFETs with an effective aspect ratio, W eff /L. Our approach for keepg track of whether the two MOSFETs of the verter are OFF or ON, lear or saturated, is to start by overlayg the two diagrams showg the MOSFET regions of operation. The pmosfet diagram is similar to that of the nmosfet, but it has its origo (, ) sce the source of the pmosfet is connected to and not to as for the nmosfet. By dog so, we obta five regions of verter operation as shown Fig In regions A and E, when one of the MOSFETs are OFF, the output node is pulled to the rail by the ON MOSFET. The itchg from high to low, or vice versa, occurs the green region, C, when both MOSFETs are saturated. I DSAT,P I DSAT,N Region C equivalent circuit Fig The CMOS verter and its voltage transfer curve (TC). OUT A: n subthreshold region Region B: nmos saturated pmos resistive E: p subthreshold region Region D: pmos saturated nmos resistive TN + TP By applyg Kirchhoff s current law (KCL) to the output node of the verter, we can derive the verter voltage transfer characteristic (TC) on the form of five different functions, =f( ). The most simple to derive and the most useful of these five functions, except for those regions A and E where, OUT DD IN TN SS DD TP IN DD, (3.1) 10

2 are those for region C, where both MOSFETs are saturated. From KCL we obta I DSAT,N =I DSAT,P, an expression from which the itchg voltage, SW = =, can be readily derived. The result is x 1 x DD TP TN, (3.2) where x=k N /k P. The complete TC is shown Fig Durg the lecture and the hands-on laboratory session, we will also defe and determe the high and low noise margs, NMH and NML. From the discussions of noise margs that we will have, we can conclude that CMOS is a robust technology. Exercises Exercise 3.1: Use the square-law MOSFET model and Kirchhoff s current law to derive equation (3.2)! Often verters are designed with x=1, but what is the effect on the TC if x is creased to, say x=4? Exercise 3.2: For three different put voltages, the output voltage of an verter is ept from to while measurg the two MOSFET currents, I DSN and I DSP. The current-voltage characteristics thus obtaed are shown below. Match the two MOSFET currents for each of the three verter put voltages, and fd the bias pots where the two currents are equal! Mark each of these three bias pots with B, C, or D, dependg on to which region of operation the vs graph that they belong. I DSP I DSN Exercise 3.3: In the verter regions of operation diagram Fig. 3.1, we can add a secondary axis for the plottg the short-circuit current, I SC =m(i DSP, I DSN ). Plot the short-circuit current after havg identified the current-limitg MOSFET the different regions of operation. Exercise 3.4: *Derive the followg TC equations for the blue regions (regions B and D): 2 2 out, high DD b b x a 1 a a b x 2 2 out, low where a= TN and b= + TP. (3.3) Please, note, that with this nomenclature, the itchg voltage can be written on the form b 1 xa, x (3.4) Exercise 3.5: To account for voltage fluctuations, i.e. noise, the valid high and low output voltages are usually defed with certa ranges like 0 OL,max, and OH,m. Sce CMOS is a robust technology, the put voltage can vary with ranges larger than those defed for valid output voltages without causg valid output voltages, 0 IL,max, and IH,m. These regions 11

3 are usually defed from the two pots, ( OL,max, IH,m ) and ( OH,m, IL,max ), on the TC where the amplifications is equal to mus one, A v =-1. a) Derive expressions for the low and high noise margs, NML and NMH, as defed the graph usg the followg expressions for ( OL,max, IH,m ) and ( OH,m, IL,max )! DD TP TN DD TP TN OH,m DD OL,max 8 8,, (3.5) DD TP TN DD TP TN IL,max IH,m 8 8 b) What are the explicit noise marg values terms of fractions of if TN =- TP = /5? c) *Derive the expressions for ( OL,max, IH,m ) and ( OH,m, IL,max ) given above for a CMOS verter with k n =k p! ALID ONE OH,MIN OUTPUT OLTAGE [OUT] ALID ZERO OL,MAX OUTPUT OLTAGE [OUT] ALID ZERO OL,MAX NML IL,MAX IH,MIN NMH OH,MIN ALID ONE INPUT OLTAGE [IN] INPUT OLTAGE [IN] d) Derive expressions for the low and high noise margs, NML and NMH, as defed the graph usg the followg expressions for ( OL,max, IH,m ) and ( OH,m, IL,max )! DD TP TN DD TP TN OH,m DD OL,max 8 8,, (3.6) DD TP TN DD TP TN IL,max IH,m 8 8 e) What are the explicit noise marg values terms of fractions of if TN =- TP = /5? f) *Derive the expressions for ( OL,max, IH,m ) and ( OH,m, IL,max ) given above for a CMOS verter with k n =k p! Suggested laboratory exercise: Use the.dc analysis tool of the Spice circuit simulator to derive the TC for three different values of x! Use the slope marker tool to derive the noise margs from the pots on the TC where the ga is equal to -1! Fally, determe the voltage ga when =! B. Dynamic properties The overall aim of this second half of the verter chapter is to make a simple electrical model of the verter for rough estimations of its dynamic itchg behavior ( contrast to its static behavior 12

4 discussed the previous half). In particular, we are terested estimatg the propagation delay between the put and output signals due to the capacitances of the loadg gates. For simplicity, we will only consider verters where the two MOSFETs are sized for equal drivg capability. By dog so, we need not treat the delay of risg outputs differently from the delay of fallg outputs. This is simple enough for back-of-the-envelope calculations prior to a more accurate computer-aided timg analysis performed by usg state-of-the-art electronic design automation (EDA) tools. Chargg and dischargg a load capacitor through a constant-current source, I ON, yield lear relationships time. Therefore, the step response propagation delay, i.e. the time it takes to reach the 50% level, /2, from either rail is given by t C L DD /2 0.5 R C. (3.7) I pd eff L ON However, a real circuit the put signal, beg the output from a previous gate, is better approximated by a lear ramp, see Fig Experience and many simulations have shown that the propagation delay for this situation is about 40% longer, i.e. t 0.7R C. (3.8) pd eff L Fig CMOS verter put signal and output response approximated by ramps. This delay model yields the same delay as the delay when chargg or dischargg a capacitor, C L, through a resistor, R eff. To obta a simple enough electrical model of the verter for calculatg its propagation delay given a certa load capacitance, C L, we will simply replace the MOSFET current source by its effective resistance. The step-by-step derivation of the electrical circuit modelg the verter output drivg capability is illustrated Fig C LOAD R eff,p C DP R eff,n C DN C L C LOAD Electrical verter driver model RC circuit model Fig The CMOS verter and the derivation of its output equivalent RC circuit. I R eff,n =R eff,p C L =C LOAD +C DN +C DP R eff + In a previous session, we have already learnt how to calculate the effective resistance of a MOSFET. In the case of an n-channel MOSFET deliverg a maximum current I ON =600 A/m at =1.2, we obta 13

5 R eff 2 kω μm DD I W μm, (3.9) ON N where W N is the channel width of the nmosfet. In the followg, we will always assume the pmosfet beg twice as wide as the nmosfet ), i.e. W P =2W N, to compensate for its herent lower drivg capability (due to a factor of two lower hole mobility). Sce the C LOAD most often is the put capacitance of another verter, it might be appropriate to recall the expression for the verter put capacitance ff/ m C C C W W LC W LC W, (3.10) G GN GP N P ox N ox N for an L=60 nm MOSFET with C ox =20 ff/m 2. The parasitic output capacitance is similarly assumed to scale with the verter drivg capability if we assume C D =pc G. This discussion leads us to the important conclusion that the R eff C G product of an verter is constant, dependent of W N, or equivalently, dependent of the verter drivg capability. Hence, the propagation delay of an ideal verter without parasitics, loaded by an identical verter is given by 0.7R C 5 ps. (3.11) eff G This is true dependent of whether the verter is of size (with W N =200 nm) or of size X10 (with W N =1 m). This fact will significantly simplify our lives as designers, sce this is the only time throughout the course that we have to calculate the RC constant. All other delay calculations will be expressed as multiples or fractions of this fundamental RC constant. The value of 5 ps given above is for a 65 nm process, a value that an ideal world scales as L 2 / between process nodes, where aga L is the channel length, or, equivalently, the mimum feature size. The process of replacg the MOSFETs by their electrical models to obta the complete verter two-port model, and not only a model for its drivg capability, is illustrated Fig C GP R eff,p C DP + R eff + C G C D C GN R eff,n C DN Inverter schematic MOSFETs replaced by their electrical models Fig The CMOS verter and the derivation of its equivalent RC circuit. Now, let us consider the case where an verter, for example, is loaded by four identical verters, or the equivalent case where an verter is loaded by an X8 verter. In both cases, the fanout of the verter is equal to four, sce it is loaded by a capacitance four times its put capacitance. This delay is denoted the FO4 delay of the verter. See Fig In both cases, the FO4 delay can be calculated as follows: CD C L FO4 delay 5 ps p f 25 ps, (3.12) CG CG 14 Resultg electrical verter two port model

6 where the fanout f=c L /C G =4, and p is the relative delay due to the parasitic capacitance at the gate output, p=c D /C G =1. As we shall see durg the next lecture, the ratio between the loadg capacitance and the put capacitance is also called the electrical effort, h. But, for the case of an verter, its fanout and its electrical effort are the same, f=h. t d Driver verter Loadg verters Driver verter Fig Illustration of the fanout-of-4 (FO4) concept. t d X8 Loadg verter Now, what would be the propagation delay if the verter is loaded by three 2-put NAND gates, or by three 2-put NOR gates, both with a drivg capability, i.e. the same as that of the verter? To solve this problem, we have to go back to the schematics of the NAND and NOR gates, and size the gate MOSFETs so that all path resistances are equal to the effective resistance of the verter. This is a two-stage process. First, as discussed before, the reference p-channel MOSFETs should, by default, be twice as wide as the reference n-channel MOSFETs. Second, when two MOSFETs appear series, they must be sized for twice the reference width to reduce the effective path resistance to R eff, the effective resistance of a reference verter. The results of such sizg processes are shown for NAND2 and NOR2 gates Fig A 2 B 2 B 4 2 NOR2 Z A 4 B 2 Z 1 NAND2 A 2 A 1 B 1 Fig Sizg MOSFET widths for the same effective resistances. By simply countg the number of unit capacitances connected to the gate put these MOSFET schematics, we can draw the conclusion that the gate put capacitance of the NAND gate is 4 unis, or 4/3 times that of the verter, while the gate put capacitance of the NOR gate is five units, or 5/3 times the put capacitance of the verter. From this discussion, we obta the followg verter FO3 delays: 4 5 ps13 25 ps NAND load 3 FO3 delay=. (3.13) 5 5 ps13 30 ps NOR load 3 The conclusion of this discussion is that all CMOS logic gates, due to its herent more complex topology, have an put gate capacitance larger than that of an verter with the same drivg capability. Of course, logic gates like the NAND and NOR gates this example, can always be resized for the 15

7 same put capacitance as for the verter, but then their drivg capabilities will be only ¾ or 3/5 of the verter drivg capability, respectively. This is due to the fact that the RC products are constant also for logic gates. This will be discussed more detail the next chapter, where we will derive models for calculatg propagation delays when the driver is a logic gate and not an verter. Fally, I would like to pot out that the ramp response of an verter is not identical to the exponential curve form of the RC circuit. However, the two curve forms yield approximately the same delay at the 50% level as illustrated Fig Fig Inverter ramp response as compared to the exponential decay of an RC circuit. Exercises Exercise 3.6: Analyze the RC circuit and show that the time needed for the exponential decay of the voltage across the capacitor to 50% of the itial voltage,, is given by t d =RC. ln2! Exercise 3.7: What do we mean with an ideal verter concerng its parasitic output capacitance? Exercise 3.8: Calculate the propagation delay of an ideal verter drivg an identical verter! Assume the followg MOSFET data: n-channel MOSFETs can sk 500 A/m channel width at =1, and their put capacitances are 1.3 ff/m. The p-channel MOSFET is made twice as wide as the n-channel device to obta the same drivg capability. Exercise 3.9: Assume that we, for simplicity, troduce a modified effective resistance R =Rln2, how large would this resistance be [ mfor the MOSFET Exercise 3.3? How does the use of R modify our delay model? Exercise 3.10: The FO4 delay of the AMS 0.35 m CMOS process runng at 3.3 is 125 ps. What would be the FO4 delay of a 0.13 m CMOS process runng at =1.8 and of a 65 nm CMOS process runng at 1.2? Exercise 3.11: Four is sort of a magic number, if the number of loadg verters becomes much larger than four, it is often more efficient to sert an extra verter with a better drivg capability as a buffer between the origal verter and the capacitive load. a) What drivg capability should the serted buffer verter have to mimize the delay? b) For what number of loadg verters does the serted buffer shorten the propagation delay? c) How does the parasitic output capacitance fluence these critical numbers? 16

8 Exercise 3.12: For how big a capacitive load would the sertion of a non-vertg, two-verter buffer give the shortest propagation delay? Exercise 3.13: Determe the number of buffer verters needed to mimize the delay if the load capacitance is 1000 times larger than the verter put capacitance? What would be the optimum taperg factor? Exercise 3.14: As a preparation for the next chapter, determe the parasitic output capacitances of the two logic gates the figure above simply by countg the number of unit dra capacitances connected to the output. How large are these parasitic capacitances with respect to the 3C put capacitance of the reference verter? Suggested laboratory exercise: Use the.tran 1 analysis simulation tool of the Spice/Spectre simulator to derive the rise and fall FO4 delays of an verter that you have designed. Defe your put signal so that its rise and fall times are about equal to those expected for the output. Did simulations give the output rise and fall times that you expected? Did you fd them equal or different? Why or why not? Compare your results from the FO4 delay simulations with your pre-lab estimations usg our simple RC model. If you have the time, run the same simulations also for the FF and SS process corners. What are the deviations from the typical case? A fal task would be to check how, and if, the FO4 delay varies with the verter drivg capability by changg the channel widths. If the FO4 delay is different for verters of different drivg capabilities, how could that be explaed? 1 tran stands for transient, large-signal analysis suitable for digital circuits 17

The CMOS Inverter. Lecture 3a Static properties (VTC and noise margins)

The CMOS Inverter. Lecture 3a Static properties (VTC and noise margins) The CMOS Inverter Lecture 3a Static properties (VTC and noise margins) Why so much about inverters? The current that any CMOS logic gate can deliver or sink can be calculated from equivalent inverter!

More information

5. CMOS Gates: DC and Transient Behavior

5. CMOS Gates: DC and Transient Behavior 5. CMOS Gates: DC and Transient Behavior Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 September 18, 2017 ECE Department, University

More information

Noise and Error Analysis and Optimization of a CMOS Latched Comparator

Noise and Error Analysis and Optimization of a CMOS Latched Comparator Available onle at www.sciencedirect.com Procedia Engeerg 30 (2012) 210 217 International Conference on Communication Technology and System Design 2011 Noise and Error Analysis and Optimization of a CMOS

More information

Exercise on Analog Circuits

Exercise on Analog Circuits PHYS 331: Junior Physics Laboratory I Exercise on Analog Circuits In this exercise you will assemble and operate some simple transistor and op-amp circuits. The examples chosen are typical of those used

More information

Written Examination on. Wednesday October 17, 2007,

Written Examination on. Wednesday October 17, 2007, Written Examination on Wednesday October 17, 2007, 08.00-12.00 The textbook and a calculator are allowed on the examination 1. The following logical function is given Q= AB( CD+ CE) + F a. Draw the schematic

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

ALMA Memo May 2003 MEASUREMENT OF GAIN COMPRESSION IN SIS MIXER RECEIVERS

ALMA Memo May 2003 MEASUREMENT OF GAIN COMPRESSION IN SIS MIXER RECEIVERS Presented at the 003 International Symposium on Space THz Teccnology, Tucson AZ, April 003 http://www.alma.nrao.edu/memos/ ALMA Memo 460 15 May 003 MEASUREMENT OF GAIN COMPRESSION IN SIS MIXER RECEIVERS

More information

CPE/EE 427, CPE 527 VLSI Design I CMOS Inverter. CMOS Inverter: A First Look

CPE/EE 427, CPE 527 VLSI Design I CMOS Inverter. CMOS Inverter: A First Look CPE/EE 427, CPE 527 VLSI Design I CMOS Inverter Department of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic CMOS Inverter: A First Look C L 9/11/26 VLSI

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Combinational vs. Sequential Logic In Combinational Logic Circuit Out In Combinational Logic Circuit

More information

Microelectronics Circuit Analysis and Design

Microelectronics Circuit Analysis and Design Microelectronics Circuit Analysis and Design Donald A. Neamen Chapter 3 The Field Effect Transistor Neamen Microelectronics, 4e Chapter 3-1 In this chapter, we will: Study and understand the operation

More information

A Low Power High Speed Class-B Buffer Amplifier for Flat Panel Display Application

A Low Power High Speed Class-B Buffer Amplifier for Flat Panel Display Application A ow ower igh Speed Class-B Buffer Amplifier for Flat anel Display Application Chih-Wen u Department of lectrical ngeerg, National Chi Nan University cwlu@ncnu.edu.tw Chung en ee Department of lectronics

More information

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits Noise in Digital Integrated Circuits Lecture 4 The CMOS Inverter i(t) v(t) V DD Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail:

More information

Lecture 3: Opamp Review. Basic Opamp

Lecture 3: Opamp Review. Basic Opamp Lecture 3: Opamp eview Invertg amplifier Generalized impedances Invertg tegrator Invertg differentiator Weighted summer Non-vertg amplifier oltage buffer Non-lear amplifiers First, assume ideal op amp.

More information

A Single-supply True Voltage Level Shifter

A Single-supply True Voltage Level Shifter A Sgle-supply True Voltage evel Shifter Rajesh Garg Gagandeep Mallarapu Sunil P Khatri (rajeshgarg at tamu.edu) (gagandeepm at tamu.edu) (sunilkhatri at tamu.edu) Department of Electrical & Computer Engeerg,

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Combinational vs. Sequential Logic In Combinational Logic Circuit Out In Combinational Logic Circuit

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

Microelectronics Circuit Analysis and Design. MOS Capacitor Under Bias: Electric Field and Charge. Basic Structure of MOS Capacitor 9/25/2013

Microelectronics Circuit Analysis and Design. MOS Capacitor Under Bias: Electric Field and Charge. Basic Structure of MOS Capacitor 9/25/2013 Microelectronics Circuit Analysis and Design Donald A. Neamen Chapter 3 The Field Effect Transistor In this chapter, we will: Study and understand the operation and characteristics of the various types

More information

Matched FET Cascode Pair: Design of Non-Linear Circuits without DC Biasing Supply

Matched FET Cascode Pair: Design of Non-Linear Circuits without DC Biasing Supply Matched FET Cascode air: Design of Non-Lear Circuits with DC Biasg Supply Rohan Sehgal, Nihit Bajaj and Raj Senani Abstract - In this brief, a novel low voltage basic cell, coed as the Matched FET Cascode

More information

ENEE 307 Laboratory#2 (n-mosfet, p-mosfet, and a single n-mosfet amplifier in the common source configuration)

ENEE 307 Laboratory#2 (n-mosfet, p-mosfet, and a single n-mosfet amplifier in the common source configuration) Revised 2/16/2007 ENEE 307 Laboratory#2 (n-mosfet, p-mosfet, and a single n-mosfet amplifier in the common source configuration) *NOTE: The text mentioned below refers to the Sedra/Smith, 5th edition.

More information

Small Signal Amplifiers - BJT. Definitions Small Signal Amplifiers Dimensioning of capacitors

Small Signal Amplifiers - BJT. Definitions Small Signal Amplifiers Dimensioning of capacitors Small Signal mplifiers BJT Defitions Small Signal mplifiers Dimensiong of capacitors 1 Defitions (1) Small signal condition When the put signal (v and, i ) is small so that output signal (v out and, i

More information

ECEN 474/704 Lab 5: Frequency Response of Inverting Amplifiers

ECEN 474/704 Lab 5: Frequency Response of Inverting Amplifiers ECEN 474/704 Lab 5: Frequency Response of Inverting Amplifiers Objective Design, simulate and layout various inverting amplifiers. Introduction Inverting amplifiers are fundamental building blocks of electronic

More information

Active Shields: A New Approach to Shielding Global Wires

Active Shields: A New Approach to Shielding Global Wires Active Shields: A New Approach to Shieldg Global Wires Himanshu Kaul University of Michigan, Ann Arbor hkaul@eng.umich.edu Dennis Sylvester University of Michigan, Ann Arbor dennis@eecs.umich.edu David

More information

Designing Information Devices and Systems II Fall 2017 Note 1

Designing Information Devices and Systems II Fall 2017 Note 1 EECS 16B Designing Information Devices and Systems II Fall 2017 Note 1 1 Digital Information Processing Electrical circuits manipulate voltages (V ) and currents (I) in order to: 1. Process information

More information

Data Converters. Circuits for Data Converters. Overview. Sample-and-Hold. Diode bridge S&H. g m

Data Converters. Circuits for Data Converters. Overview. Sample-and-Hold. Diode bridge S&H. g m Data Converters Overview Circuits for Data Converters Pietro Andreani Dept. of Electrical and Information Technology Lund University, weden ample-and-hold (&H) Diode bridge &H witched emitter follower

More information

ELEC 350L Electronics I Laboratory Fall 2012

ELEC 350L Electronics I Laboratory Fall 2012 ELEC 350L Electronics I Laboratory Fall 2012 Lab #9: NMOS and CMOS Inverter Circuits Introduction The inverter, or NOT gate, is the fundamental building block of most digital devices. The circuits used

More information

DIGITAL VLSI LAB ASSIGNMENT 1

DIGITAL VLSI LAB ASSIGNMENT 1 DIGITAL VLSI LAB ASSIGNMENT 1 Problem 1: NMOS and PMOS plots using Cadence. In this exercise, you are required to generate both NMOS and PMOS I-V device characteristics (I/P and O/P) using Cadence (Use

More information

Digital logic families

Digital logic families Digital logic families Digital logic families Digital integrated circuits are classified not only by their complexity or logical operation, but also by the specific circuit technology to which they belong.

More information

Lecture 13 - Digital Circuits (II) MOS Inverter Circuits. October 25, 2005

Lecture 13 - Digital Circuits (II) MOS Inverter Circuits. October 25, 2005 6.12 - Microelectronic Devices and Circuits - Fall 25 Lecture 13-1 Lecture 13 - Digital Circuits (II) MOS Inverter Circuits October 25, 25 Contents: 1. NMOS inverter with resistor pull-up (cont.) 2. NMOS

More information

Week 9a OUTLINE. MOSFET I D vs. V GS characteristic Circuit models for the MOSFET. Reading. resistive switch model small-signal model

Week 9a OUTLINE. MOSFET I D vs. V GS characteristic Circuit models for the MOSFET. Reading. resistive switch model small-signal model Week 9a OUTLINE MOSFET I vs. V GS characteristic Circuit models for the MOSFET resistive switch model small-signal model Reading Rabaey et al.: Chapter 3.3.2 Hambley: Chapter 12 (through 12.5); Section

More information

Using SP6652 For a Positive to Negative Buck Boost Converter

Using SP6652 For a Positive to Negative Buck Boost Converter Solved by APPCATON NOTE ANP9 TM Usg SP665 For a Positive to Negative Buck Boost Converter ntroduction The SP665 is an tegrated FET synchronous PWM buck regulator ideal for low put voltage applications.

More information

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits In this lab, we will be looking at ac signals with MOSFET circuits and digital electronics. The experiments will be performed

More information

MOS TRANSISTOR THEORY

MOS TRANSISTOR THEORY MOS TRANSISTOR THEORY Introduction A MOS transistor is a majority-carrier device, in which the current in a conducting channel between the source and the drain is modulated by a voltage applied to the

More information

Digital Microelectronic Circuits ( ) CMOS Digital Logic. Lecture 6: Presented by: Adam Teman

Digital Microelectronic Circuits ( ) CMOS Digital Logic. Lecture 6: Presented by: Adam Teman Digital Microelectronic Circuits (361-1-3021 ) Presented by: Adam Teman Lecture 6: CMOS Digital Logic 1 Last Lectures The CMOS Inverter CMOS Capacitance Driving a Load 2 This Lecture Now that we know all

More information

1 GSW Noise and IP3 in Receivers

1 GSW Noise and IP3 in Receivers Gettg Started with Communications Engeerg GSW Noise and 3 Receivers GSW Noise and 3 Receivers In many cases, the designers of dividual receiver components (mostly amplifiers, mixers and filters) don t

More information

I. Digital Integrated Circuits - Logic Concepts

I. Digital Integrated Circuits - Logic Concepts I. Digital Integrated Circuits - Logic Concepts. Logic Fundamentals: binary mathematics: only operate on and (oolean algebra) simplest function -- inversion = symbol for the inverter INPUT OUTPUT EECS

More information

8. Combinational MOS Logic Circuits

8. Combinational MOS Logic Circuits 8. Combinational MOS Introduction Combinational logic circuits, or gates, witch perform Boolean operations on multiple input variables and determine the output as Boolean functions of the inputs, are the

More information

Design of Low Offset and High Speed CMOS Comparator for Analog to Digital Converter

Design of Low Offset and High Speed CMOS Comparator for Analog to Digital Converter Design of Low Offset and High Speed CMOS Comparator for Analog to Digital Converter Abstract Nidhi Tarun, Shruti Suman, P. K. Ghosh ECE Department Faculty of Engeerg and Technology Mody University of Science

More information

EE105 Fall 2015 Microelectronic Devices and Circuits: MOSFET Prof. Ming C. Wu 511 Sutardja Dai Hall (SDH)

EE105 Fall 2015 Microelectronic Devices and Circuits: MOSFET Prof. Ming C. Wu 511 Sutardja Dai Hall (SDH) EE105 Fall 2015 Microelectronic Devices and Circuits: MOSFET Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 7-1 Simplest Model of MOSFET (from EE16B) 7-2 CMOS Inverter 7-3 CMOS NAND

More information

ECE/CoE 0132: FETs and Gates

ECE/CoE 0132: FETs and Gates ECE/CoE 0132: FETs and Gates Kartik Mohanram September 6, 2017 1 Physical properties of gates Over the next 2 lectures, we will discuss some of the physical characteristics of integrated circuits. We will

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

UNIT-III GATE LEVEL DESIGN

UNIT-III GATE LEVEL DESIGN UNIT-III GATE LEVEL DESIGN LOGIC GATES AND OTHER COMPLEX GATES: Invert(nmos, cmos, Bicmos) NAND Gate(nmos, cmos, Bicmos) NOR Gate(nmos, cmos, Bicmos) The module (integrated circuit) is implemented in terms

More information

CMOS VLSI Design (A3425)

CMOS VLSI Design (A3425) CMOS VLSI Design (A3425) Unit III Static Logic Gates Introduction A static logic gate is one that has a well defined output once the inputs are stabilized and the switching transients have decayed away.

More information

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Homework 5 this week Lab

More information

Electronics Basic CMOS digital circuits

Electronics Basic CMOS digital circuits Electronics Basic CMOS digital circuits Prof. Márta Rencz, Gábor Takács, Dr. György Bognár, Dr. Péter G. Szabó BME DED October 21, 2014 1 / 30 Introduction The topics covered today: The inverter: the simplest

More information

Basic Circuits. Current Mirror, Gain stage, Source Follower, Cascode, Differential Pair,

Basic Circuits. Current Mirror, Gain stage, Source Follower, Cascode, Differential Pair, Basic Circuits Current Mirror, Gain stage, Source Follower, Cascode, Differential Pair, CCS - Basic Circuits P. Fischer, ZITI, Uni Heidelberg, Seite 1 Reminder: Effect of Transistor Sizes Very crude classification:

More information

ELEC 2210 EXPERIMENT 12 NMOS Logic

ELEC 2210 EXPERIMENT 12 NMOS Logic ELEC 2210 EXPERIMENT 12 NMOS Logic Objectives: The experiments in this laboratory exercise will provide an introduction to NMOS logic. You will use the Bit Bucket breadboarding system to build and test

More information

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 5: Basic CMOS Inverter Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Objectives In this lecture you will learn the following Ratioed Logic Pass Transistor Logic Dynamic Logic Circuits

More information

Resonant System Design with Coarse Grained Pipelines

Resonant System Design with Coarse Grained Pipelines Resonant System Design with Coarse Graed Pipeles Visvesh S. Sathe, Marios C. Papaefthymiou Department of EECS, University of Michigan Ann Arbor, USA vssathe,marios @eecs.umich.edu Abstract In this report,

More information

IN digital circuits, reducing the supply voltage is one of

IN digital circuits, reducing the supply voltage is one of IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 61, NO. 10, OCTOBER 2014 753 A Low-Power Subthreshold to Above-Threshold Voltage Level Shifter S. Rasool Hosseini, Mehdi Saberi, Member,

More information

Data Converters. Circuits for Data Converters. Overview. Sample-and-Hold. Diode bridge S&H

Data Converters. Circuits for Data Converters. Overview. Sample-and-Hold. Diode bridge S&H Data Converters Overview Circuits for Data Converters Pietro Andreani Dept. of Electrical and Information Technology Lund University, weden ample-and-hold (&H) Diode bridge &H witched emitter follower

More information

SIMULATION AND EVALUATION OF SWITCHED INDUCTOR BOOST DC-DC CONVERTER FOR PV APPLICATION

SIMULATION AND EVALUATION OF SWITCHED INDUCTOR BOOST DC-DC CONVERTER FOR PV APPLICATION SIMULATION AND EALUATION OF SWITCHED INDUCTOR BOOST DC-DC CONERTER FOR P APPLICATION Ahmad Saudi Samosir Department of Electrical Engeerg, University of Lampung, Bandar Lampung, Indonesia E-Mail: ahmad.saudi@eng.unila.ac.id

More information

Digital Microelectronic Circuits ( ) Pass Transistor Logic. Lecture 9: Presented by: Adam Teman

Digital Microelectronic Circuits ( ) Pass Transistor Logic. Lecture 9: Presented by: Adam Teman Digital Microelectronic Circuits (361-1-3021 ) Presented by: Adam Teman Lecture 9: Pass Transistor Logic 1 Motivation In the previous lectures, we learned about Standard CMOS Digital Logic design. CMOS

More information

UNIVERSITY OF CALIFORNIA AT BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences.

UNIVERSITY OF CALIFORNIA AT BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences. UNIVERSITY OF CALIFORNIA AT BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences Discussion #9 EE 05 Spring 2008 Prof. u MOSFETs The standard MOSFET structure is shown

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

Lecture 26 - Design Problems & Wrap-Up. May 15, 2003

Lecture 26 - Design Problems & Wrap-Up. May 15, 2003 6.012 Microelectronic Devices and Circuits - Spring 2003 Lecture 26-1 Lecture 26 - Design Problems & 6.012 Wrap-Up May 15, 2003 Contents: 1. Design process 2. Design project pitfalls 3. Lessons learned

More information

Operational Amplifier Circuits

Operational Amplifier Circuits Operational Amplifier Circuits eview: deal Op-amp an open loop configuration p p + i _ + i + Ai o o n n _ An ideal op-amp is characterized with fite open loop ga A The other relevant conditions for an

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

Lecture 02: Logic Families. R.J. Harris & D.G. Bailey

Lecture 02: Logic Families. R.J. Harris & D.G. Bailey Lecture 02: Logic Families R.J. Harris & D.G. Bailey Objectives Show how diodes can be used to form logic gates (Diode logic). Explain the need for introducing transistors in the output (DTL and TTL).

More information

Lecture 13. Biasing and Loading Single Stage FET Amplifiers. The Building Blocks of Analog Circuits - III

Lecture 13. Biasing and Loading Single Stage FET Amplifiers. The Building Blocks of Analog Circuits - III Lecture 3 Biasing and Loading Single Stage FET Amplifiers The Building Blocks of Analog Circuits III In this lecture you will learn: Current biasing of circuits Current sources and sinks for CS, CG, and

More information

Lecture 6: Transistors Amplifiers. K.K. Gan Lecture 6: Transistors Amplifiers

Lecture 6: Transistors Amplifiers. K.K. Gan Lecture 6: Transistors Amplifiers Lecture 6: Transistors Amplifiers ommon mitter Amplifier ( Simplified ): What's common (ground) a common emitter amp? The emitter! The emitter is connected (tied) to ground usually by a capacitor To an

More information

Shorthand Notation for NMOS and PMOS Transistors

Shorthand Notation for NMOS and PMOS Transistors Shorthand Notation for NMOS and PMOS Transistors Terminal Voltages Mode of operation depends on V g, V d, V s V gs = V g V s V gd = V g V d V ds = V d V s = V gs - V gd Source and drain are symmetric diffusion

More information

Review Sheet for Midterm #2

Review Sheet for Midterm #2 Review Sheet for Midterm #2 Brian Bircumshaw brianb@eecs.berkeley.edu 1 Miterm #1 Review See Table 1 on the following page for a list of the most important equations you should know from Midterm #1. 2

More information

Low Voltage SC Circuit Design with Low - V t MOSFETs

Low Voltage SC Circuit Design with Low - V t MOSFETs Low Voltage SC Circuit Design with Low - V t MOSFETs Seyfi S. azarjani and W. Martin Snelgrove Department of Electronics, Carleton University, Ottawa Canada K1S-56 Tel: (613)763-8473, E-mail: seyfi@doe.carleton.ca

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

An amplifier with AGC for the 80 Mbit/s Optical Receiver of the CMS digital optical link

An amplifier with AGC for the 80 Mbit/s Optical Receiver of the CMS digital optical link An amplifier with AGC for the 80 Mbit/s Optical Receiver of the CMS digital optical lk F. Faccio, P. Moreira, A. Marchioro, K. Kloukas, M. Campbell CERN, 1211 Geneva 23, Switzerland Abstract An 80 Mbit/s

More information

An amplifier with AGC for the 80 Mbit/s Optical Receiver of the CMS digital optical link

An amplifier with AGC for the 80 Mbit/s Optical Receiver of the CMS digital optical link An amplifier with AGC for the 80 Mbit/s Optical Receiver of the CMS digital optical lk F. Faccio, P. Moreira, A. Marchioro, K. Kloukas, M. Campbell CERN, 1211 Geneva 23, Switzerland Abstract An 80 Mbit/s

More information

EECS 312: Digital Integrated Circuits Lab Project 2 Extracting Electrical and Physical Parameters from MOSFETs. Teacher: Robert Dick GSI: Shengshuo Lu

EECS 312: Digital Integrated Circuits Lab Project 2 Extracting Electrical and Physical Parameters from MOSFETs. Teacher: Robert Dick GSI: Shengshuo Lu EECS 312: Digital Integrated Circuits Lab Project 2 Extracting Electrical and Physical Parameters from MOSFETs Teacher: Robert Dick GSI: Shengshuo Lu Due 3 October 1 Introduction In this lab project, we

More information

Course Outline. 4. Chapter 5: MOS Field Effect Transistors (MOSFET) 5. Chapter 6: Bipolar Junction Transistors (BJT)

Course Outline. 4. Chapter 5: MOS Field Effect Transistors (MOSFET) 5. Chapter 6: Bipolar Junction Transistors (BJT) Course Outline 1. Chapter 1: Signals and Amplifiers 1 2. Chapter 3: Semiconductors 3. Chapter 4: Diodes 4. Chapter 5: MOS Field Effect Transistors (MOSFET) 5. Chapter 6: Bipolar Junction Transistors (BJT)

More information

In this experiment you will study the characteristics of a CMOS NAND gate.

In this experiment you will study the characteristics of a CMOS NAND gate. Introduction Be sure to print a copy of Experiment #12 and bring it with you to lab. There will not be any experiment copies available in the lab. Also bring graph paper (cm cm is best). Purpose In this

More information

EE 40. Midterm 3. November 14, 2002

EE 40. Midterm 3. November 14, 2002 Lab TA: Dan Bart Nir Konrad Yu Ching EE 40 Midterm 3 November 14, 2002 PLEASE WRITE YOUR NAME ON EACH ATTACHED PAGE PLEASE SHOW YOUR WORK TO RECEIVE PARTIAL CREDIT Problem 1: 10 Points Possible Problem

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

Leakage Current Modeling in PD SOI Circuits

Leakage Current Modeling in PD SOI Circuits Leakage Current Modeling in PD SOI Circuits Mini Nanua David Blaauw Chanhee Oh Sun MicroSystems University of Michigan Nascentric Inc. mini.nanua@sun.com blaauw@umich.edu chanhee.oh@nascentric.com Abstract

More information

Model of Low-Noise, Small-Current- Measurement System Using MATLAB/Simulink Tools

Model of Low-Noise, Small-Current- Measurement System Using MATLAB/Simulink Tools Model of Low-oise, Small-Current- Measurement System Usg MATLAB/Simulk Tools Dejan agradić *, Krešimir Pardon ** and Dražen Jurišić * * University of Zagreb/Faculty of Electrical Engeerg and Computg, Unska

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

Design and Simulation of Low Voltage Operational Amplifier

Design and Simulation of Low Voltage Operational Amplifier Design and Simulation of Low Voltage Operational Amplifier Zach Nelson Department of Electrical Engineering, University of Nevada, Las Vegas 4505 S Maryland Pkwy, Las Vegas, NV 89154 United States of America

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Homework Assignment 07

Homework Assignment 07 Homework Assignment 07 Question 1 (Short Takes). 2 points each unless otherwise noted. 1. A single-pole op-amp has an open-loop low-frequency gain of A = 10 5 and an open loop, 3-dB frequency of 4 Hz.

More information

Digital Integrated Circuits EECS 312

Digital Integrated Circuits EECS 312 14 12 10 8 6 Fujitsu VP2000 IBM 3090S Pulsar 4 IBM 3090 IBM RY6 CDC Cyber 205 IBM 4381 IBM RY4 2 IBM 3081 Apache Fujitsu M380 IBM 370 Merced IBM 360 IBM 3033 Vacuum Pentium II(DSIP) 0 1950 1960 1970 1980

More information

Digital Electronics. Assign 1 and 0 to a range of voltage (or current), with a separation that minimizes a transition region. Positive Logic.

Digital Electronics. Assign 1 and 0 to a range of voltage (or current), with a separation that minimizes a transition region. Positive Logic. Digital Electronics Assign 1 and 0 to a range of voltage (or current), with a separation that minimizes a transition region Positive Logic Logic 1 Negative Logic Logic 0 Voltage Transition Region Transition

More information

A new class AB folded-cascode operational amplifier

A new class AB folded-cascode operational amplifier A new class AB folded-cascode operational amplifier Mohammad Yavari a) Integrated Circuits Design Laboratory, Department of Electrical Engineering, Amirkabir University of Technology, Tehran, Iran a) myavari@aut.ac.ir

More information

Module 3. DC to DC Converters. Version 2 EE IIT, Kharagpur 1

Module 3. DC to DC Converters. Version 2 EE IIT, Kharagpur 1 Module 3 DC to DC Converters ersion EE IIT, Kharagpur Lesson 4 C uk and Sepic Converter ersion EE IIT, Kharagpur Instructional objective On completion the student will be able to Compare the advantages

More information

Analog Integrated Circuit Design Exercise 1

Analog Integrated Circuit Design Exercise 1 Analog Integrated Circuit Design Exercise 1 Integrated Electronic Systems Lab Prof. Dr.-Ing. Klaus Hofmann M.Sc. Katrin Hirmer, M.Sc. Sreekesh Lakshminarayanan Status: 21.10.2015 Pre-Assignments The lecture

More information

Design Project #1: Matching Transformers

Design Project #1: Matching Transformers 4/14/2009 723 Design Project 1 s 09.doc 1/10 Design Project #1: Matchg Transformers In this project you will design and test three matchg networks: a) A Quarter-wave transformer b) A 4-section Bomial transformer

More information

Digital Microelectronic Circuits ( ) Terminology and Design Metrics. Lecture 2: Presented by: Adam Teman

Digital Microelectronic Circuits ( ) Terminology and Design Metrics. Lecture 2: Presented by: Adam Teman Digital Microelectronic Circuits (361-1-3021 ) Presented by: Adam Teman Lecture 2: Terminology and Design Metrics 1 Last Week Introduction» Moore s Law» History of Computers Circuit analysis review» Thevenin,

More information

Practice Homework Problems for Module 1

Practice Homework Problems for Module 1 Practice Homework Problems for Module 1 1. Unsigned base conversions (LO 1-1). (a) (2C9E) 16 to base 2 (b) (1101001) 2 to base 10 (c) (1101001) 2 to base 16 (d) (8576) 10 to base 16 (e) (A27F) 16 to base

More information

Experiment #6 MOSFET Dynamic circuits

Experiment #6 MOSFET Dynamic circuits Experiment #6 MOSFET Dynamic circuits Jonathan Roderick Introduction: This experiment will build upon the concepts that were presented in the previous lab and introduce dynamic circuits using MOSFETS.

More information

Digital circuits. Bởi: Sy Hien Dinh

Digital circuits. Bởi: Sy Hien Dinh Digital circuits Bởi: Sy Hien Dinh This module presents the basic concepts of MOSFET digital logic circuits. We will examine NMOS logic circuits, which contain only n-channel transistors, and complementary

More information

6.012 Microelectronic Devices and Circuits

6.012 Microelectronic Devices and Circuits Page 1 of 13 YOUR NAME Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology 6.012 Microelectronic Devices and Circuits Final Eam Closed Book: Formula sheet provided;

More information

COMPARISON OF THE MOSFET AND THE BJT:

COMPARISON OF THE MOSFET AND THE BJT: COMPARISON OF THE MOSFET AND THE BJT: In this section we present a comparison of the characteristics of the two major electronic devices: the MOSFET and the BJT. To facilitate this comparison, typical

More information

ECE 683 Project Report. Winter Professor Steven Bibyk. Team Members. Saniya Bhome. Mayank Katyal. Daniel King. Gavin Lim.

ECE 683 Project Report. Winter Professor Steven Bibyk. Team Members. Saniya Bhome. Mayank Katyal. Daniel King. Gavin Lim. ECE 683 Project Report Winter 2006 Professor Steven Bibyk Team Members Saniya Bhome Mayank Katyal Daniel King Gavin Lim Abstract This report describes the use of Cadence software to simulate logic circuits

More information

Common-source Amplifiers

Common-source Amplifiers Lab 1: Common-source Amplifiers Introduction The common-source amplifier is one of the basic amplifiers in CMOS analog circuits. Because of its very high input impedance, relatively high gain, low noise,

More information

A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms *

A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms * A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms * Hanif Fatemi Shahin Nazarian Massoud Pedram EE-Systems Dept., University of Southern California Los Angeles, CA

More information

ECE 3110: Engineering Electronics II Fall Final Exam. Dec. 16, 8:00-10:00am. Name: (78 points total)

ECE 3110: Engineering Electronics II Fall Final Exam. Dec. 16, 8:00-10:00am. Name: (78 points total) Final Exam Dec. 16, 8:00-10:00am Name: (78 points total) Problem 1: Consider the emitter follower in Fig. 7, which is being used as an output stage. For Q 1, assume β = and initally assume that V BE =

More information

Building Blocks of Integrated-Circuit Amplifiers

Building Blocks of Integrated-Circuit Amplifiers CHAPTER 7 Building Blocks of Integrated-Circuit Amplifiers Introduction 7. 493 IC Design Philosophy 7. The Basic Gain Cell 494 495 7.3 The Cascode Amplifier 506 7.4 IC Biasing Current Sources, Current

More information

Gechstudentszone.wordpress.com

Gechstudentszone.wordpress.com UNIT 4: Small Signal Analysis of Amplifiers 4.1 Basic FET Amplifiers In the last chapter, we described the operation of the FET, in particular the MOSFET, and analyzed and designed the dc response of circuits

More information

Vishay Siliconix AN724 Designing A High-Frequency, Self-Resonant Reset Forward DC/DC For Telecom Using Si9118/9 PWM/PSM Controller.

Vishay Siliconix AN724 Designing A High-Frequency, Self-Resonant Reset Forward DC/DC For Telecom Using Si9118/9 PWM/PSM Controller. AN724 Designing A High-Frequency, Self-Resonant Reset Forward DC/DC For Telecom Using Si9118/9 PWM/PSM Controller by Thong Huynh FEATURES Fixed Telecom Input Voltage Range: 30 V to 80 V 5-V Output Voltage,

More information

High Boost Hybrid Transformer DC DC Converter for Photovoltaic Module Applications

High Boost Hybrid Transformer DC DC Converter for Photovoltaic Module Applications High Boost Hybrid Transformer DC DC Converter for Photovoltaic Module Applications K.Umadevi,Associate Professor umaraj2000@gmail.com Abstract This paper presents a nonisolated, high boost ratio hy-brid

More information

Chapter 4. CMOS Cascode Amplifiers. 4.1 Introduction. 4.2 CMOS Cascode Amplifiers

Chapter 4. CMOS Cascode Amplifiers. 4.1 Introduction. 4.2 CMOS Cascode Amplifiers Chapter 4 CMOS Cascode Amplifiers 4.1 Introduction A single stage CMOS amplifier cannot give desired dc voltage gain, output resistance and transconductance. The voltage gain can be made to attain higher

More information