MEMS Integrated Nano and Flexible Electron Devices by Deep Reactive Ion Etching Technology

Size: px
Start display at page:

Download "MEMS Integrated Nano and Flexible Electron Devices by Deep Reactive Ion Etching Technology"

Transcription

1 MEMS Integrated Nano and Flexible Electron Devices by Deep Reactive Ion Etching Technology Yoshio Mita, Sakda Srisomrun, Yohei Hamaguchi, Yoshiaki Imai, Kenichiro Hirose, Masanori Kubota, Tomoki Sawamura, Jean-Bernard Pourciel 1, Frédéric Marty 2, Tarik Bourouina 2, Shuichi Sakai, and Tadashi Shibata Intelligent Semiconductor Microsystems Laboratory (isml) Team MEMS, Departments of Electrical and Electronics Engineering, the University of Tokyo 1 CNRS-LAAS, Univ. Paul Sabatier, France, 2 ESIEE, France MEMS@if.t.u-tokyo.ac.jp Abstract Deep Reactive Ion Etching (DRIE) technology has been developed for High Aspect Ratio Micro Electro Mechanical Systems (MEMS), which mainly refers to micro mechanical structures. The authors group (isml TeamMEMS ) is exploiting this MEMS-born technology to use in electron device application field. Those brand new electron devices will be used to develop many types of sensors to enrich 21 st century s secure life. In the year , two demonstration devices are developed: (1) a silicone rubber (PDMS) sheet integrated with 150nm-node VLSI circuits, and (2) photovoltaic cell made of submicron silicon P-N junction grid that acts also as light polarizer. As a core technology, vertical surface profiler probe with new optical detection principle is developed. All the devices are made with COE s Deep Reactive Ion Etching apparatus, installed in Takeda Sentanchi Cleanroom, with worldcompetitive High Aspect Ratio Nano Structure etching technology of TeamMEMS. Keywords Deep Reactive Ion Etching (DRIE), Vertical Surface Profiler, Photovoltaic Cell, Polarizer, High Aspect Ratio Nano Structures (HARNS), Flexible VLSI New Devices Silicon on Silicone Flexible VLSI Polarizing Photovoltaic Cell Provide New Electron Devices with Deep RIE Technology 10µm Core Technologies High Aspect Ratio Etching Doping on the Wall Vertical Profile Control Vertical Surface Profiler Fig.1 isml TeamMEMS exploits MEMS technology for Electron Device. I. INTRODUCTION Since more than a half century, electron devices have been the key components to enrich secure life. The authors believe that in the 21 st century a new spin will be added to electron devices, such as integration with micro electro mechanical systems (MEMS) for higher functionality. The authors group isml TeamMEMS is exploiting such MEMS and electron device integration field to produce new devices with new functionality (Fig.1). The key competent technology is Deep Reactive Ion Etching (DRIE). DRIE is originally developed to fabricate deep silicon structures. By means of the Takeda Sentanchi Cleanroom s open-use DRIE apparatus (Alcatel MS-100) that is installed by 21 st Century COE programs preceding to the current Global COE and process knowledge acquired through French connection with ESIEE, together with VDEC s Elecrton Beam Writer (Advantest F5112+VD01), TeamMEMS can fabricate very deep[1] (up to aspect ratio 1:107 for 374nm opening, Fig.2a) and narrow (down to 100nm in trench opening, in Fig.2b) silicon structures. Fig.2 Deep RIE examples by TeamMEMS In the year , two devices are provided based on the DRIE technology. One device (section II) is a silicone rubber (poly-dimethyl-siloxane, PDMS) flexible sheet integrated with 150nm-node Silicon on Insulator (SOI) VLSI circuit [2]. This Silicon on Silicone device is a demonstration for integration technology that provides a reliable flexible device. The other (section III) is submicron-wide silicon wire grid photovoltaic cell [3]. The periodic structure of submicron-wide silicon grid works as light polarizer, and is expected to be used in LCD display application for example. In parallel to these application devices, an analysis tool is developed (section IV) to measure vertical surface profile of very narrow and deep trenches or holes without cleaving so that without destroying the sample [4]. Conventional technology can measure holes as small as 40µm with 30nm of resolution. The aim of the work is to reduce minimum hole size to 20µm at first stage, and then 4µm and below, in keeping the same level of resolution.

2 II. SILICON ON SILICONE FLEXIBLE VLSI DEVICE The demands of using thin and flexible circuits in many applications have increased speedily such as electronic paper, organic solar panel, flexible ID card etc. Also, for biomedical applications, MEMS integrated with transparent electronics are awaited because those devices can be used with standard optical observations such as inverted microscope. Because of these great demands, there are a lot of researches attempting to fabricate organic devices by printing devices elements such as organic semiconductor, organic conductor and organic insulator directly on flexible substrates [5]. But in these cases, organic devices erosion and low performance are the main problems. Therefore, we approach to transfer existing silicon extra thin active layer to organic film instead. There were attempts to embed thinned bulk silicon chip in flexible materials [6], [7]. But chip handling would be an issue when chip comes extra thin. Our proposed process suggests using SOI chip so that only silicon substrate can be selectively carried away because etching process will stop when reaching buried oxide (BOX) layer. Furthermore, we built electrode contacts through the bottom of the SOI chip. Therefore, there were no needs to handle and transfer thin chip any times in case of building contacts. These could reduce risks of chip fragmentation. In the experiment, a Silicon on PDMS device was fabricated, in which PDMS was used as chip s flexible basal substrate and also as an adhesive material. PDMS was spun and cured on a 4 silicon handling wafer. Then the prototype SOI chip included active layer of devices was put on and sank down in PDMS as shown in Fig.3(a). The PDMS spin coat were processed 2 times. Firstly, a thick (~15 µm) PDMS basal layer was spun at 1000 rpm and cured on handling wafer. Then, secondly, adhesive thin PDMS was spun with high rotation at 5000 rpm. Then, the SOI chip was put on. Doing this is prevent SOI chip from sinking down to handling wafer and sticking to it. Then Si substrate of SOI chip was etched out by high density plasma etching (with Bosch's process to avoid undercut) with Alcatel ICP-RIE AMS-100 using SF 6 and C 4 F 8. The lost-wafer process was continued until reaching BOX layer. As shown in Fig. 3 (b), active layer was clearly observed because of insulator s transparent property. By using this, contact hole lithography was processed without problem. The device is finally peeled off from substrate without breaking the structure. (a) (b) Fig.3 An SOI Prototype Chip is (a) flip-chip glued on PDMS silicone rubber substrate, (b) after lostwafer DRIE the chip becomes transparent. Fig.4: Extra thin Silicon on Silicone wrapped around a 5 mm-diameter screwdriver. Fig.5: Id-Vg characteristics in linear scale (left) and log scale (right). Lost-wafer makes slight shift in threshold and S-factor. Bending has no impact. B. Bending and Electrical Characteristics An example of Id-Vg measurement results of transistors test devices is shown in Fig. 5. Measurement showed that after the fabrication process of thinning chip, drain current increase and threshold voltage decrease were observed. Subthreshold characteristic parameter, S factor also increased from about 70 mv/dec to 100 mv/dec. The reason can be attributed to the reducing of box thickness occurred while etching the Si substrate of SOI chip. Note that the normalized values are shown in the figure here for NDA reason. One of solutions for this problem is using PD (partially depleted) SOI chip as the objective chip instead of FD SOI chip. Because the characteristics of PD SOI chip depends on the BOX thickness less than FD SOI chip, PD SOI chip can be more suitable for our fabrication process. Most interestingly, characteristics did not change before and after bending over a screwdriver.

3 III. Polarizer Integrated Photovoltaic Cell Polarization is widely used in optical systems such as Liquid Crystal Displays (LCDs). In such systems, polarization plates are usually used to extract the light polarized in one direction by shutting the light polarized in the other directions. Therefore, half of the light energy is wasted. This paper proposes the polarizationtransmissive thin-film solar cell (PTTF solar cell) that can recover light energy by means of photocurrent as shown in Fig. 6. It is widely known that the metal wire grid structure, which consists of the wires narrower than wave length of incident light, reflects the light polarized in parallel to the grid and transmits the light polarized perpendicularly to the grid [8]. In place of the metal wire grid, PTTF solar cells consist of the silicon photodiode-nanowire grid. They can generate photocurrents from the incident light polarized in parallel to the grid and transmit the incident light polarized perpend- icularly. The fabricated PTTF solar cell, which consists of the 400nm wide photodiode wires, achieved the extinction ratio of 4 and generated photocurrent from the 675nm-laser. PTTF solar cells require only three fabrication steps: diffusion, lithography, and DRIE. An n-type 100mm silicon wafer (thickness: 525μm, resistivity: ~5 Ω cm) was used. First, thermal diffusion was carried out with boron nitride planar diffusion source (BN-975, Saint- Gobain) to form the p-n junction on the wafer surface. After thermal diffusion, the SiO 2 film was removed by 50% Hydro Fluoric acid (HF). Then, a 400nm-thick Electron Beam (EB) resist (ZEP-520A, ZEON Co.) was spun on the wafer. The EB resist was exposed by the EBlithography machine (F5112+VD01, ADVANTEST) and developed by an organic developer (ZED-N50, ZEON Co.) to define the grid pattern. The wafer was etched by using the standard Bosch s process followed by the long isotropic etching with an Inductively Coupled Plasma Reactive Ion Etching (ICP-RIE) apparatus (AMS-100, Alcatel). Then, the wafer was cleaned by O 2 plasma to remove EB resist and sidewall-passivation. The PTTF solar cell was peeled off the substrate. B. Measurement PTTF solar cell was pinched between two transparent acrylic plates, both partly covered with aluminum film. These Al films work as electrodes and the generated photocurrent was measured by the semiconductor parameter analyzer (4156B, Agilent). There is a polarization plate and a half-wavelength plate between the measured device and light source. Power of the transmitted light was measured using an optical power meter (TQ8210, ADVANTEST). The measured device is approximately 5mm 2 and consists of the 5μm thick, 400nm wide, and 500nm interval photodiode wires as shown in Fig. 8. Figure 9 demonstrates the power of transmitted light and generated photocurrent in function of incident light Fig.6: Polarizer Integrated Photovoltaic Cell works both as polarizer (for display) and photovoltaic cell (for enegy recovery) Fig.7: Dry release process of submicron grid polarizer by isotropic indercut Fig.8: SEM bird s eye view of fabricated device Fig.9: Extinction ratio of 4 is obtained for 675nm LASER (left). Current ratio was 1.18(right) polarization. Transmitted power is normalized by that of the incident light, when the polarizations of the incident lights vary. It achieved the extinction-ratio of 4 for the laser whose wavelength is 675nm. The generated photocurrent increased proportionally to the power of the incident light. Judging from the figures, the less incident light is transmitted, the more photocurrent is generated. Dark current of the p-n junction of this device is approximately 70nA, which is relatively large value. One reason is that p-n junction is exposed to the air due to the DRIE. The authors are now proposing improved device structure to reduce dark current.

4 IV. NON-DESTRUCTIVE SURFACE PROFILER Destructive methods are usually used to measure vertical profiles of such high aspect ratio structures. However, non-destructive vertical profile measurement method has been intensively demanded so as to know relationships of measured profile with characteristics in the working system. As a non-destructive vertical profile measurement method, a cantilever-type hole surface profiler [9] as shown in Fig. 10 (a) has been developed since ten years. The profiler consists of a cantilever with a tip and a piezoresistive sensor. The piezoresistive sensor is integrated on the root of cantilever. The output voltage of piezoresistance bridge circuit begins to increase when the tip touches a surface of structure. The increase is proportional to the overdriving displacement of cantilever root from the touched position. The contact point can be calculated as an intersection point of non-contact phase line (L1) and contact phase line (L2) as shown in figure 1 (b). This calculation method is called SDAPPLIN (a Surface Detection by Approximated Lines Intersection) method. It showed high precision (±30 nm) surface profiling [10]. In the SDAPPLIN method, the probe must be further pushed after contact to obtain an output signal dependency on the overdriving displacement. This overdriving displacement and probe diameter itself limited the measurable hollow shape diameter to 40 µm. To reduce minimum diameter, the new cantilever shape and sensitive detection system are required. In fact, if major bending occurs near the end, overdriving displacement will decrease. Therefore a cantilever having thinner part near the end of cantilever combined with sensitive detection system will lead high accuracy on small overdriving displacement. An optical detection is known to be so sensitive that used on Atomic Force Microscopes [11]. The authors found that optical detection using thinned optical fiber gives sufficiently sensitive measurement as well. It is also known having high speed response and robustness in high temperature and high magnetic field. The proposed optomechanical system consists of silicon cantilever profiler and thinned optical fiber as shown in Fig.11. The cantilever profiler has a thicker part with a sheath fiberguide and thinner part with a tip and a mirror. Major bending occurs at thinner part, which decreases overdriving displacement. A thinned fiber is inserted into the fiberguide of cantilever and connected to a light source and a power meter via a circulator. A reflection light from the mirror goes back to thinned fiber and is detected by optical power meter. Reflection light decreases when tip touches structure and the mirror moves. Hole Detection at root by piezoresistance (a) Schema of the cantilever Fig. 10: Conventional measurement system and surface touching point extraction method t~10μm (a) Flat mirror Power meter Thicker part for fiber i ti Thinner part for bending 10μm Overdriving displacement (distance to draw L2) (b) SDAPPLIN method Contact point is intersection of L1 (non-contact phase) and L2 (contact). 40μm L1 Light sourc 50μm 100μm circulator thinned fiber Hole L2 Contact point smaller overdriving mirror displacement Fig 11: The proposed optomechanical system 15μm Sheath shape 7μm Stair mirror (b) Stair mirror Fig.12: SEM images of the probes

5 -7.3 [dbm/µm] Flat mirror Stair mirror -2.8 [dbm/µm] V. CONCLUSIONS Three independent pieces of research are summarized in this paper: (1) Silicon on Silicone flexible VLSI, (2) Polarizer integrated Photovoltaic Thin Film, and (3) vertical surface profiler. All are bottom-up device example of cutting-the-edge Deep RIE process technology of TeamMEMS. These devices are now being investigated to be used as key devices of top-down applications. Fig.13: Characteristics comparison Stair mirror and flat mirror The probe was fabricated by three-step double side DRIE. Firstly, anisotropic etching followed by isotropic etching is performed from front side of the wafer to fabricate probe needle as well as sheath shape to accommodate optical fiber. The combination of anisotropic etching and isotropic etching is characteristic technique of TeamMEMS (the same concept is applied to nanometer scale for dry release method in section III). Then probe shape is etched also from front side by ordinal photolithography. The probe is released by through the wafer etching from backside. Probes having two different mirror types were made as shown in Fig.12: one is flat mirror type (a) and the other is stair mirror type (b). B. Experimental Results A first proof-of-concept experimental setup of optical detection was assembled (Fig. 11). The reflected light from the mirror was measured while a micrometer pushed tip. It showed monotonously decreasing of reflection power according to probe displacement from the original position. The characteristics of the measured devices with each type of mirror are shown in Fig.13. The stair mirror device achieved higher sensitivity than the flat mirror. The fitted line of flat mirror is about -2.8 [dbm/μm] while that of stair type mirror is about -7.3 [dbm/μm]. Effectiveness of mirror shape is therefore verified. The authors are now trying to use the probe with SDAPPLIN measurement system. ACKNOWLEDGMENTS The CAD patterns used in this work were designed with Cadence Virtuso that is accessible through University of Tokyo VLSI Design and Education Center (VDEC) s academic program and made by using the VDEC s 8-inch EB writer F5112+VD01 donated by ADVANTEST Corporation. Alcatel ICP-RIE AMS-100 is proprietary of 21 st century Center of Excellence programs and maintained by Takeda Sentanchi Device Laboratory. Professor Yamashita is acknowledged for an Infra-Red light source, a power meter and a circulator. VAN partners corporation is acknowledged for FESEM S The work is partially supported by Nanotech Network project of MEXT, Japan, and PICS grant of CNRS, France. REFERENCES [1] F. Marty et al, Microelec. J., 36 (2005), [2] S. Srisomrun et al, IEEE MEMS 2007, [3] K. Hirose et al, IEEE OMEMS [4] Y. Hamaguchi et al, IEEE MEMS 2008, [5] Z. Bao et al, J. Materials Feature Article, (1999), [6] E. Jung, et al, Electronic Components and Technology Conf., (2002) [7] M. Feil et al, Electronic Components and Technology Conf., (2002), [8] Y. Pochi, Optics Communications, 26, [9] B. J. Kim et al., the Assembly of IEEJ, 3 (1996), [10] J.-B. Pourciel et al., J. Micromech., 12(2002),

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory.

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. Issued: Tuesday, Sept. 13, 2011 PROBLEM SET #2 Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. 1. Below in Figure 1.1 is a description of a DRIE silicon etch using the Marvell

More information

3D SOI elements for System-on-Chip applications

3D SOI elements for System-on-Chip applications Advanced Materials Research Online: 2011-07-04 ISSN: 1662-8985, Vol. 276, pp 137-144 doi:10.4028/www.scientific.net/amr.276.137 2011 Trans Tech Publications, Switzerland 3D SOI elements for System-on-Chip

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links Monolithically integrated InGaAs nanowires on 3D structured silicon-on-insulator as a new platform for full optical links Hyunseok Kim 1, Alan C. Farrell 1, Pradeep Senanayake 1, Wook-Jae Lee 1,* & Diana.

More information

Optics Communications

Optics Communications Optics Communications 283 (2010) 3678 3682 Contents lists available at ScienceDirect Optics Communications journal homepage: www.elsevier.com/locate/optcom Ultra-low-loss inverted taper coupler for silicon-on-insulator

More information

High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches

High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches : MEMS Device Technologies High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches Joji Yamaguchi, Tomomi Sakata, Nobuhiro Shimoyama, Hiromu Ishii, Fusao Shimokawa, and Tsuyoshi

More information

Yoshihiko ISOBE Hiroshi MUTO Tsuyoshi FUKADA Seiji FUJINO

Yoshihiko ISOBE Hiroshi MUTO Tsuyoshi FUKADA Seiji FUJINO Yoshihiko ISOBE Hiroshi MUTO Tsuyoshi FUKADA Seiji FUJINO Increased performance requirements in terms of the environment, safety and comfort have recently been imposed on automobiles to ensure efficient

More information

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura Stresa, Italy, 25-27 April 2007 PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING Teruhisa Akashi and Yasuhiro Yoshimura Mechanical Engineering Research Laboratory (MERL),

More information

MEMS in ECE at CMU. Gary K. Fedder

MEMS in ECE at CMU. Gary K. Fedder MEMS in ECE at CMU Gary K. Fedder Department of Electrical and Computer Engineering and The Robotics Institute Carnegie Mellon University Pittsburgh, PA 15213-3890 fedder@ece.cmu.edu http://www.ece.cmu.edu/~mems

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Measurement of Microscopic Three-dimensional Profiles with High Accuracy and Simple Operation

Measurement of Microscopic Three-dimensional Profiles with High Accuracy and Simple Operation 238 Hitachi Review Vol. 65 (2016), No. 7 Featured Articles Measurement of Microscopic Three-dimensional Profiles with High Accuracy and Simple Operation AFM5500M Scanning Probe Microscope Satoshi Hasumura

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz IWORID J. Schmitz page 1 Wafer-level CMOS post-processing Jurriaan Schmitz IWORID J. Schmitz page 2 Outline Introduction on wafer-level post-proc. CMOS: a smart, but fragile substrate Post-processing steps

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

This writeup is adapted from Fall 2002, final project report for by Robert Winsor.

This writeup is adapted from Fall 2002, final project report for by Robert Winsor. Optical Waveguides in Andreas G. Andreou This writeup is adapted from Fall 2002, final project report for 520.773 by Robert Winsor. September, 2003 ABSTRACT This lab course is intended to give students

More information

Fabrication of Silicon Master Using Dry and Wet Etching for Optical Waveguide by Thermal Embossing Technique

Fabrication of Silicon Master Using Dry and Wet Etching for Optical Waveguide by Thermal Embossing Technique Sensors and Materials, Vol. 18, No. 3 (2006) 125 130 MYU Tokyo 125 S & M 0636 Fabrication of Silicon Master Using Dry and Wet Etching for Optical Waveguide by Thermal Embossing Technique Jung-Hun Kim,

More information

Supplementary information for Stretchable photonic crystal cavity with

Supplementary information for Stretchable photonic crystal cavity with Supplementary information for Stretchable photonic crystal cavity with wide frequency tunability Chun L. Yu, 1,, Hyunwoo Kim, 1, Nathalie de Leon, 1,2 Ian W. Frank, 3 Jacob T. Robinson, 1,! Murray McCutcheon,

More information

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen What is Silicon on Insulator (SOI)? SOI silicon on insulator, refers to placing a thin layer of silicon on top of an insulator such as SiO2. The devices

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

Nanofluidic Diodes based on Nanotube Heterojunctions

Nanofluidic Diodes based on Nanotube Heterojunctions Supporting Information Nanofluidic Diodes based on Nanotube Heterojunctions Ruoxue Yan, Wenjie Liang, Rong Fan, Peidong Yang 1 Department of Chemistry, University of California, Berkeley, CA 94720, USA

More information

End-of-line Standard Substrates For the Characterization of organic

End-of-line Standard Substrates For the Characterization of organic FRAUNHOFER INSTITUTe FoR Photonic Microsystems IPMS End-of-line Standard Substrates For the Characterization of organic semiconductor Materials Over the last few years, organic electronics have become

More information

IMAGING SILICON NANOWIRES

IMAGING SILICON NANOWIRES Project report IMAGING SILICON NANOWIRES PHY564 Submitted by: 1 Abstract: Silicon nanowires can be easily integrated with conventional electronics. Silicon nanowires can be prepared with single-crystal

More information

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Robert. B. Bass, Jian. Z. Zhang and Aurthur. W. Lichtenberger Department of Electrical Engineering, University of

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

Nanotechnology, the infrastructure, and IBM s research projects

Nanotechnology, the infrastructure, and IBM s research projects Nanotechnology, the infrastructure, and IBM s research projects Dr. Paul Seidler Coordinator Nanotechnology Center, IBM Research - Zurich Nanotechnology is the understanding and control of matter at dimensions

More information

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator Figure 4 Advantage of having smaller focal spot on CCD with super-fine pixels: Larger focal point compromises the sensitivity, spatial resolution, and accuracy. Figure 1 Typical microlens array for Shack-Hartmann

More information

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches University of Pennsylvania From the SelectedWorks of Nipun Sinha 29 Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches Nipun Sinha, University of Pennsylvania Timothy S.

More information

Precision microcomb design and fabrication for x-ray optics assembly

Precision microcomb design and fabrication for x-ray optics assembly Precision microcomb design and fabrication for x-ray optics assembly Yanxia Sun, a) Ralf K. Heilmann, b) Carl G. Chen, Craig R. Forest, and Mark L. Schattenburg Space Nanotechnology Laboratory, Center

More information

Loss Reduction in Silicon Nanophotonic Waveguide Micro-bends Through Etch Profile Improvement

Loss Reduction in Silicon Nanophotonic Waveguide Micro-bends Through Etch Profile Improvement Loss Reduction in Silicon Nanophotonic Waveguide Micro-bends Through Etch Profile Improvement Shankar Kumar Selvaraja, Wim Bogaerts, Dries Van Thourhout Photonic research group, Department of Information

More information

Development of Solid-State Detector for X-ray Computed Tomography

Development of Solid-State Detector for X-ray Computed Tomography Proceedings of the Korea Nuclear Society Autumn Meeting Seoul, Korea, October 2001 Development of Solid-State Detector for X-ray Computed Tomography S.W Kwak 1), H.K Kim 1), Y. S Kim 1), S.C Jeon 1), G.

More information

Deliverable 4.2: TEM cross sections on prototyped Gated Resistors

Deliverable 4.2: TEM cross sections on prototyped Gated Resistors Deliverable 4.2: TEM cross sections on prototyped Gated Resistors Olga G. Varona, Geoff Walsh, Bernie Capraro Intel Ireland 21 June 2011 Abbreviation list D: drain FIB: focused ion-beam HRTEM: high resolution

More information

SILICON NANOWIRE HYBRID PHOTOVOLTAICS

SILICON NANOWIRE HYBRID PHOTOVOLTAICS SILICON NANOWIRE HYBRID PHOTOVOLTAICS Erik C. Garnett, Craig Peters, Mark Brongersma, Yi Cui and Mike McGehee Stanford Univeristy, Department of Materials Science, Stanford, CA, USA ABSTRACT Silicon nanowire

More information

Semiconductor Physics and Devices

Semiconductor Physics and Devices Metal-Semiconductor and Semiconductor Heterojunctions The Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET) is one of two major types of transistors. The MOSFET is used in digital circuit, because

More information

Characterization of Rotational Mode Disk Resonator Quality Factors in Liquid

Characterization of Rotational Mode Disk Resonator Quality Factors in Liquid Characterization of Rotational Mode Disk Resonator Quality Factors in Liquid Amir Rahafrooz and Siavash Pourkamali Department of Electrical and Computer Engineering University of Denver Denver, CO, USA

More information

Fabrication and application of a wireless inductance-capacitance coupling microsensor with electroplated high permeability material NiFe

Fabrication and application of a wireless inductance-capacitance coupling microsensor with electroplated high permeability material NiFe Journal of Physics: Conference Series Fabrication and application of a wireless inductance-capacitance coupling microsensor with electroplated high permeability material NiFe To cite this article: Y H

More information

The Design and Realization of Basic nmos Digital Devices

The Design and Realization of Basic nmos Digital Devices Proceedings of The National Conference On Undergraduate Research (NCUR) 2004 Indiana University Purdue University Indianapolis, Indiana April 15-17, 2004 The Design and Realization of Basic nmos Digital

More information

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02 EE 5611 Introduction to Microelectronic Technologies Fall 2014 Thursday, September 04, 2014 Lecture 02 1 Lecture Outline Review on semiconductor materials Review on microelectronic devices Example of microelectronic

More information

MEMS Processes at CMP

MEMS Processes at CMP MEMS Processes at CMP MEMS Processes Bulk Micromachining MUMPs from MEMSCAP Teledyne DALSA MIDIS Micralyne MicraGEM-Si CEA/LETI Photonic Si-310 PHMP2M 2 Bulk micromachining on CMOS Compatible with electronics

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Vertical nanowire electrode arrays as a scalable platform for intracellular interfacing to neuronal circuits Jacob T. Robinson, 1* Marsela Jorgolli, 2* Alex K. Shalek, 1 Myung-Han Yoon, 1 Rona S. Gertner,

More information

MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications

MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications Part I: RF Applications Introductions and Motivations What are RF MEMS? Example Devices RFIC RFIC consists of Active components

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

2007-Novel structures of a MEMS-based pressure sensor

2007-Novel structures of a MEMS-based pressure sensor C-(No.16 font) put by office 2007-Novel structures of a MEMS-based pressure sensor Chang-Sin Park(*1), Young-Soo Choi(*1), Dong-Weon Lee (*2) and Bo-Seon Kang(*2) (1*) Department of Mechanical Engineering,

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging Christophe Kopp, St ephane Bernab e, Badhise Ben Bakir,

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects By Mieke Van Bavel, science editor, imec, Belgium; Joris Van Campenhout, imec, Belgium; Wim Bogaerts, imec s associated

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 21: Gyros

More information

Through Glass Via (TGV) Technology for RF Applications

Through Glass Via (TGV) Technology for RF Applications Through Glass Via (TGV) Technology for RF Applications C. H. Yun 1, S. Kuramochi 2, and A. B. Shorey 3 1 Qualcomm Technologies, Inc. 5775 Morehouse Dr., San Diego, California 92121, USA Ph: +1-858-651-5449,

More information

Department of Astronomy, Graduate School of Science, the University of Tokyo, Hongo, Bunkyo-ku, Tokyo , Japan;

Department of Astronomy, Graduate School of Science, the University of Tokyo, Hongo, Bunkyo-ku, Tokyo , Japan; Verification of the controllability of refractive index by subwavelength structure fabricated by photolithography: toward single-material mid- and far-infrared multilayer filters Hironobu Makitsubo* a,b,

More information

Characterization of SOI MOSFETs by means of charge-pumping

Characterization of SOI MOSFETs by means of charge-pumping Paper Characterization of SOI MOSFETs by means of charge-pumping Grzegorz Głuszko, Sławomir Szostak, Heinrich Gottlob, Max Lemme, and Lidia Łukasiak Abstract This paper presents the results of charge-pumping

More information

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626 OPTI510R: Photonics Khanh Kieu College of Optical Sciences, University of Arizona kkieu@optics.arizona.edu Meinel building R.626 Announcements Homework #3 is due today No class Monday, Feb 26 Pre-record

More information

FINDINGS. REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck. Figure 1

FINDINGS. REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck. Figure 1 FINDINGS REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck A. Results At the Center for High Tech Materials at the University of New Mexico, my work

More information

An X band RF MEMS switch based on silicon-on-glass architecture

An X band RF MEMS switch based on silicon-on-glass architecture Sādhanā Vol. 34, Part 4, August 2009, pp. 625 631. Printed in India An X band RF MEMS switch based on silicon-on-glass architecture M S GIRIDHAR, ASHWINI JAMBHALIKAR, J JOHN, R ISLAM, C L NAGENDRA and

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI 1 Integrated diodes pn junctions of transistor structures can be used as integrated diodes. The choice of the junction is limited by the considerations of switching speed and breakdown voltage. The forward

More information

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc.

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc. All-Glass Gray Scale PhotoMasks Enable New Technologies Che-Kuang (Chuck) Wu Canyon Materials, Inc. 1 Overview All-Glass Gray Scale Photomask technologies include: HEBS-glasses and LDW-glasses HEBS-glass

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Notes. (Subject Code: 7EC5)

Notes. (Subject Code: 7EC5) COMPUCOM INSTITUTE OF TECHNOLOGY & MANAGEMENT, JAIPUR (DEPARTMENT OF ELECTRONICS & COMMUNICATION) Notes VLSI DESIGN NOTES (Subject Code: 7EC5) Prepared By: MANVENDRA SINGH Class: B. Tech. IV Year, VII

More information

Chapter 3 Fabrication

Chapter 3 Fabrication Chapter 3 Fabrication The total structure of MO pick-up contains four parts: 1. A sub-micro aperture underneath the SIL The sub-micro aperture is used to limit the final spot size from 300nm to 600nm for

More information

Low-power carbon nanotube-based integrated circuits that can be transferred to biological surfaces

Low-power carbon nanotube-based integrated circuits that can be transferred to biological surfaces SUPPLEMENTARY INFORMATION Articles https://doi.org/10.1038/s41928-018-0056-6 In the format provided by the authors and unedited. Low-power carbon nanotube-based integrated circuits that can be transferred

More information

Topic 3. CMOS Fabrication Process

Topic 3. CMOS Fabrication Process Topic 3 CMOS Fabrication Process Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Lecture 3-1 Layout of a Inverter

More information

Vertical Surround-Gate Field-Effect Transistor

Vertical Surround-Gate Field-Effect Transistor Chapter 6 Vertical Surround-Gate Field-Effect Transistor The first step towards a technical realization of a nanowire logic element is the design and manufacturing of a nanowire transistor. In this respect,

More information

High Power RF MEMS Switch Technology

High Power RF MEMS Switch Technology High Power RF MEMS Switch Technology Invited Talk at 2005 SBMO/IEEE MTT-S International Conference on Microwave and Optoelectronics Conference Dr Jia-Sheng Hong Heriot-Watt University Edinburgh U.K. 1

More information

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER As we discussed in chapter 1, silicon photonics has received much attention in the last decade. The main reason is

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Fabricating 2.5D, 3D, 5.5D Devices

Fabricating 2.5D, 3D, 5.5D Devices Fabricating 2.5D, 3D, 5.5D Devices Bob Patti, CTO rpatti@tezzaron.com Tezzar on Semiconduct or 04/15/2013 1 Gen4 Dis-Integrated 3D Memory DRAM layers 42nm node 2 million vertical connections per lay per

More information

Bio-Inspired Micro and Nano Electro Mechanical Systems: DRIE devices and Autonomous Distributed Microrobots

Bio-Inspired Micro and Nano Electro Mechanical Systems: DRIE devices and Autonomous Distributed Microrobots Bio-Inspired Micro and Nano Electro Mechanical Systems: DRIE devices and Autonomous Distributed Microrobots Yoshio Mita a, Y.Imai a, Y.Li b S.Morishita a J.-H.Kim a,, T.Sawamura a, M.Sugiyama a, A.Higo

More information

4H-SiC V-Groove Trench MOSFETs with the Buried p + Regions

4H-SiC V-Groove Trench MOSFETs with the Buried p + Regions ELECTRONICS 4H-SiC V-Groove Trench MOSFETs with the Buried p + Regions Yu SAITOH*, Toru HIYOSHI, Keiji WADA, Takeyoshi MASUDA, Takashi TSUNO and Yasuki MIKAMURA ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------

More information

SPECIAL REPORT SOI Wafer Technology for CMOS ICs

SPECIAL REPORT SOI Wafer Technology for CMOS ICs SPECIAL REPORT SOI Wafer Technology for CMOS ICs Robert Simonton President, Simonton Associates Introduction: SOI (Silicon On Insulator) wafers have been used commercially as starting substrates for several

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin & Digging Deeper Devices, Fabrication & Reliability For More Info:.com or email Dellin@ieee.org SAMPLE SLIDES & COURSE OUTLINE In : 2. A Easy, Effective, of How Devices Are.. Recommended for everyone who

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

Optodevice Data Book ODE I. Rev.9 Mar Opnext Japan, Inc.

Optodevice Data Book ODE I. Rev.9 Mar Opnext Japan, Inc. Optodevice Data Book ODE-408-001I Rev.9 Mar. 2003 Opnext Japan, Inc. Section 1 Operating Principles 1.1 Operating Principles of Laser Diodes (LDs) and Infrared Emitting Diodes (IREDs) 1.1.1 Emitting Principles

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics The Department of Advanced Materials Engineering Materials and Processes in Polymeric Microelectronics 1 Outline Materials and Processes in Polymeric Microelectronics Polymeric Microelectronics Process

More information

Simulation of High Resistivity (CMOS) Pixels

Simulation of High Resistivity (CMOS) Pixels Simulation of High Resistivity (CMOS) Pixels Stefan Lauxtermann, Kadri Vural Sensor Creations Inc. AIDA-2020 CMOS Simulation Workshop May 13 th 2016 OUTLINE 1. Definition of High Resistivity Pixel Also

More information

Introduction of ADVANTEST EB Lithography System

Introduction of ADVANTEST EB Lithography System Introduction of ADVANTEST EB Lithography System Nanotechnology Business Division ADVANTEST Corporation 1 2 Node [nm] EB Lithography Products < ADVANTEST s Superiority > High Resolution :EB optical technology

More information

Development of DEEL (Deep Electrochemical Etching with Laser assistance) technology for low heat affected zone and high aspect ratio processing

Development of DEEL (Deep Electrochemical Etching with Laser assistance) technology for low heat affected zone and high aspect ratio processing IWMF2014, 9 th INTERNATIONAL WORKSHOP ON MICROFACTORIES OCTOBER 5-8, 2014, HONOLULU, U.S.A. / 1 Development of DEEL (Deep Electrochemical Etching with Laser assistance) technology for low heat affected

More information

Supplementary Figure 1 Reflective and refractive behaviors of light with normal

Supplementary Figure 1 Reflective and refractive behaviors of light with normal Supplementary Figures Supplementary Figure 1 Reflective and refractive behaviors of light with normal incidence in a three layer system. E 1 and E r are the complex amplitudes of the incident wave and

More information

Supporting Information. Filter-free image sensor pixels comprising silicon. nanowires with selective color absorption

Supporting Information. Filter-free image sensor pixels comprising silicon. nanowires with selective color absorption Supporting Information Filter-free image sensor pixels comprising silicon nanowires with selective color absorption Hyunsung Park, Yaping Dan,, Kwanyong Seo,, Young J. Yu, Peter K. Duane, Munib Wober,

More information

Nanoscale Material Characterization with Differential Interferometric Atomic Force Microscopy

Nanoscale Material Characterization with Differential Interferometric Atomic Force Microscopy Nanoscale Material Characterization with Differential Interferometric Atomic Force Microscopy F. Sarioglu, M. Liu, K. Vijayraghavan, A. Gellineau, O. Solgaard E. L. Ginzton Laboratory University Tip-sample

More information

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors Supplementary Information Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors J. A. Caraveo-Frescas and H. N. Alshareef* Materials Science and Engineering, King

More information

AN ELECTRET-BASED PRESSURE SENSITIVE MOS TRANSISTOR

AN ELECTRET-BASED PRESSURE SENSITIVE MOS TRANSISTOR 587 AN ELECTRET-BASED PRESSURE SENSITIVE MOS TRANSISTOR J.A. Voorthuyzen and P. Bergveld Twente University, P.O. Box 217, 7500 AE Enschede The Netherlands ABSTRACT The operation of the Metal Oxide Semiconductor

More information

Academic Course Description SRM University Faculty of Engineering and Technology Department of Electronics and Communication Engineering

Academic Course Description SRM University Faculty of Engineering and Technology Department of Electronics and Communication Engineering Academic Course Description SRM University Faculty of Engineering and Technology Department of Electronics and Communication Engineering EC0032 Introduction to MEMS Eighth semester, 2014-15 (Even Semester)

More information

A thin foil optical strain gage based on silicon-on-insulator microresonators

A thin foil optical strain gage based on silicon-on-insulator microresonators A thin foil optical strain gage based on silicon-on-insulator microresonators D. Taillaert* a, W. Van Paepegem b, J. Vlekken c, R. Baets a a Photonics research group, Ghent University - INTEC, St-Pietersnieuwstraat

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications

A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications A new Hetero-material Stepped Gate (HSG) SOI LDMOS for RF Power Amplifier Applications Radhakrishnan Sithanandam and M. Jagadesh Kumar, Senior Member, IEEE Department of Electrical Engineering Indian Institute

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

True Three-Dimensional Interconnections

True Three-Dimensional Interconnections True Three-Dimensional Interconnections Satoshi Yamamoto, 1 Hiroyuki Wakioka, 1 Osamu Nukaga, 1 Takanao Suzuki, 2 and Tatsuo Suemasu 1 As one of the next-generation through-hole interconnection (THI) technologies,

More information

Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension

Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension Jae-Woong Nah*, Yves Martin, Swetha Kamlapurkar, Sebastian Engelmann, Robert L. Bruce, and Tymon Barwicz IBM T. J. Watson Research

More information

IOLTS th IEEE International On-Line Testing Symposium

IOLTS th IEEE International On-Line Testing Symposium IOLTS 2018 24th IEEE International On-Line Testing Symposium Exp. comparison and analysis of the sensitivity to laser fault injection of CMOS FD-SOI and CMOS bulk technologies J.M. Dutertre 1, V. Beroulle

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor DRAM & Flexible RRAM This Week s Subject p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor CMOS Logic Inverter NAND gate NOR gate CMOS Integration & Layout GaAs MESFET (JFET) 1 Flexible

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Transfer printing stacked nanomembrane lasers on silicon Hongjun Yang 1,3, Deyin Zhao 1, Santhad Chuwongin 1, Jung-Hun Seo 2, Weiquan Yang 1, Yichen Shuai 1, Jesper Berggren 4, Mattias Hammar 4, Zhenqiang

More information

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness MIT International Journal of Electronics and Communication Engineering, Vol. 4, No. 2, August 2014, pp. 81 85 81 Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness Alpana

More information

Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors

Micro-sensors - what happens when you make classical devices small: MEMS devices and integrated bolometric IR detectors Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors Dean P. Neikirk 1 MURI bio-ir sensors kick-off 6/16/98 Where are the targets

More information

Semiconductor Back-Grinding

Semiconductor Back-Grinding Semiconductor Back-Grinding The silicon wafer on which the active elements are created is a thin circular disc, typically 150mm or 200mm in diameter. During diffusion and similar processes, the wafer may

More information

Towards a fully integrated optical gyroscope using whispering gallery modes resonators

Towards a fully integrated optical gyroscope using whispering gallery modes resonators Towards a fully integrated optical gyroscope using whispering gallery modes resonators T. Amrane 1, J.-B. Jager 2, T. Jager 1, V. Calvo 2, J.-M. Leger 1 1 CEA, LETI, Grenoble, France. 2 CEA, INAC-SP2M

More information