Real Time Etching End Point Monitors (OES & Interferometer type)

Size: px
Start display at page:

Download "Real Time Etching End Point Monitors (OES & Interferometer type)"

Transcription

1 Real Time Etching End Point Monitors (OES & Interferometer type) HORIBA Semiconductor

2 Products Softwares Applications Websites and download

3 Products

4 History of HORIBA End Point Monitor 1/2 26 years experience in Process Control Field Digisem MultiCPM First generations Digisem OES type EV 140 Fixed time Monochromator CCD EPD EPD 1983 Multisem 2007 PlasmaScope First generations monochromators Camera 2009 EV-140 C (Windows) SOFIE Instruments JOBIN YVON HORIBA

5 History of HORIBA End Point Monitor 2/2 Interferometer type LEM-CT 670 & 905 nm DIGILEM DIGILEM-TILT 2010 DIGILEM-H DIGILEM-CPM (Windows)

6 End point (ETCH, Cleaning), Health Monitoring (ETCH, Cleaning, CVD) Feature of EV-140 C (OES) UV signal sensitivity enhancement: - UV range optimised spectrograph design - Backside thin CCD - 2D sensor: 2048*64 pixels Robustness design for Process use: - Simple configuration, High reliability - FA grade PC configurable EPD real time control platform: - 20ms (min) sampling - EPD conditions setting by Flexible formula definition - Complete process engineer tool - Unique EPD algorithm for low open area Remote network capability - Coactivity with process tool with specified protocol Spectrograph : Flat field concave holographic grating Focal L. 140 mm) Spectra range : nm Resolution : Detector : Back thin CCD detector 2048 ch Min sampling : 20ms Fiber length : 2m (Standard) Outline : 137 x 257 x 156 mm (WxDxH) Weight : 4.0 kg Remote ctrl : Parallel I/O, RS232C, (TCP/I

7 End point (ETCH, CVD) Feature of LEM-CT (Laser Interferometry 670, 905 nm ) CCD patented Laser Interferometer: 670 nm, 905 nm Spot diameter : from 25 µ Requirement: Top window on the chamber Measures intensity changes of light reflected from the sample surface during etch or deposition process powerful endpoint algorithms that can be easily extended from simple to highly customized applications. Real Time Etch Rate and Etched Thickness Endpoint at a defined thickness End Point on remaining thickness Endpoint on interface,

8 End point (ETCH, CVD) Feature of DIGILEM-H (Spectroscopic Interferometry) Spectrometer unit and additional light source unit can create Wide wavelength range of monitoring channels of CCD sensor can make Multi channel & Simultaneous Interferometry measurement. Wafer view can be observed with same optical axis of monitoring. Wide variety of HORIBA unique Interferometer algorithm Reliable and simple hardware Stop Remain Thickness Function is available for GaN process (Option) Pattern recognition and auto positioning function is available (Option)

9 End point (ETCH, CVD) DIGILEM-H configuration and specifications Model : DIGILEM H UV MN DIGILEM - H UV - PR (Option)/ - AT (Option) DIGILEM H VS MN DIGILEM H VS - PR (Option)/ - AT (Option) Light source unit : Xe-Hg Lamp or Halogen Lamp Spot diameter: microns (Depends on the camera to wafer distance) Light source wavelength : Xe-Hg : nm Halogen : nm Spectrometer (EV-140 C) : Resolution > 2.0nm (with 50 micron slit) Detector : 2048ch CCD Optical fiber : 2 branch fiber x 6m Illumination unit : LED unit System configuration (DIGILEM-H-UV/VS) Camera view Sensor LED illumination Head Spectrograph & PC Plasma HgXe lamp Wafer Sample: installed on IPC etcher Control unit Model : DIGILEM H UV - MN

10 Softwares

11 Sigma-P, unique software whatever the sensor Kinetic modeler (Interferometry) Autopattern (Optical Emission Spectroscopy) Script Go for Automatic REPROCESSING Change owner mode ALARMS History Quick & Unified Recipes Selector Spectra real-time Endpoint, Health monitoring Interferometry Action Button: Go Run name Runs Browser Informations View Select for reprocessing Backup Lock Lots Analyzer MultiRun Viewer Action Button: SIMUL Go for REPROCESSING Recipe List Create Modify Set Id Report View last Run current Mode Display, WD

12 Sigma-P, unique software whatever the sensor Sigma_P (Real time software installed on all systems) One click button action User friendly recipe creation & optimisation Comprehensive reprocessing/scripts capabilities Integrated SQL database for data management, Multi-run viewer & Statistics Full connectivity to cluster tools and factory host Manufacturing (24h/day 365/year operators & OEM) Process & Tool control: alarms, events follow-up, loopback Fab integration for distant desktop, in-fab alert notification Completed with Powerful Engineering Tools

13 Sigma-P, recipe editor Tree View: Click a property to open its parameters Add, Remove properties Endpoint Property: Parameters appears in line Click on columns to modify parameters Add line/remove line Variable: easy to use in formula Editor: CURVi, CONDi, DECIi,

14 Engineering softwares OES type Interferometer type Recipe Designer (option on desktop only) (ENGINEERING TOOL) Easy semi automatic way to go from spectra acquisition to Endpoint wavelengths selection using proprietary algorithms «Automatic» Endpoint recipe creation using new mathematical algorithms Import EPD recipe to Sigma_P in one click! Kinetic Modeler (ENGINEERING TOOL) Simulate layers piling obtain theoritical interferometric curves Save Reference & Import to Sigma_P in one click!

15 Applications

16 In-Situ Process control applications Application Fields Semiconductor: memories (EDRam, MRam, Flash ), logic circuit devices Optoelectronic: telecommunications, laser diode, MEMS: micropump, accelerometer, micromotor, Compounds: LED Optical coatings, FPD, Failure analysis, LCD PV -- Cleaning (Expensive gases economy) -- Uniformity during deposition (manage plasma condition), cleaning (NF3, F2), Etch (CxFy)

17 Interferometric Applications Etch and Growth Rate Layer Thickness End Point Detection

18 Laser device, LED, Laser result features DIGILEM-H Multiwavelength monitoring due to a wide range of multilayer stack Red Laser device Blue Laser device (GaN process) End point GaAs A Layer MQW BLayer GaN/MQW Interface (Stop Remain Thickness function / Option) Measurement wavelength: λ=335nm Measurement wavelength: λ=550 nm

19 LASER DIODE, PHOTODETECTORS, LASER MIRRORS GaAs- GaAlAs optoelectronic features LEM-CT + EV-140 Typical wafer structures? Resist p+ GaAs p AlGaAs graded index 4 QWs n AlGaAs graded index n+ AlGaAs GaAs buffer layer 905 nm laser spot GaAs substrate Typical results obtained? Example of wafer surface achieved LD: Laser Diode - PD: PhotoDetector Changes on interferometric signal: amplitude and period. which allows to 1) measure etch rate in real-time 2) distinguish changes of layer composition into heterostructure Additionnally to Laser Interferometry, changes on OES signal amplitude allows to identify interfaces between GaAs and GaAlAs Buffer layer Confinement layer Confinement layer QW Cladding layer Interferometric and OES signals GaAs/GaAlAs interface detection

20 III-V, Sandwich (MQW, Braggs,..) features LEM-CT Applications : Deep etching of III.V materials GaAs, InP, ternary (InGAs, AlGaAs,...), quaternary (InGaAsP), nitride (GaN, AlGaN,...) 300 s Applications : Etching of III-V semiconductors on GaAs and InP sustrates: ternary, quaternary, nitrides (AlGaAs/GaAs, InGaAsP/InP, InGaAlAs/InP, GaN,...) InP 200 nm In GaAs 103 nm InP 1060 nm Quat InGaAsP 75 nm InP : p 473 nm Quat SCH + MqWs 217 nm (SCH : 55 nm InGaAsP2 MqW : InGaAsP3) InP : n 1040 nm Bragg mirrors

21 SOI, Metal etching, Semiconductors, dielectrics and oxide, Bosch process, SOI, Metal etching, Bosch process using LEM-CT SOI, III-V s stacks Bosch Process (Deposition/Etch Cycle) D E D E D E D E Metal etching Titanium etch SiO 2 substrate

22 Endpoint Plasma Intense (a.u.) Before During After OES Applications Process time (sec) Photomask etching

23 AEC/APC Advanced Process Control End point as Standard Monitoring Increase yield and throughput Process stability Run to Run control Misprocessing ENDPOINT Vacuum leak Chemistry ratio Chamber conditioning Chamber Drift before Wet Clean Bad Lithography Micro-arcing Depend ing on some combin ation Limitation of End point Monitoring Low Open area / High device density Selective chemistry Tool Magnetic field Advanced Equipment Control Health monitoring introduction Process characterization Chamber characterization EPD Complement or substitute Typical CCD Spectrum Chamber health Monitoring: Chamber cleaning Chamber conditioning to avoid first wafer effect Chamber matching and troubleshooting Chamber gas leak detection or gas purity control Preventive maintenance (Wet Clean ) Unexpected events (arcing, )

24 APC Recipe designer : search ruptures Example of Recipe designer setting to develop an endpoint recipe Wavelengths classification Upward rupture: Averaged wavelengths Rupture intensity Threshold Rupture STEP 1 Automatic wavelengths classification (pattern) STEP 2 Identification of the rupture point using the approximation of 2 straight lines STEP 3 The Endpoint recipe is built using Rupture rupture intensity threshold. Export it to Sigma-P

25 APC OES Engineering flow Spectra recipe new proprietary mathematical algorithms: Slope change using kinetic trend fitting Periodic component filtering based on wavelet theory Application on the fly at each new OES spectrum acquisition Statistic study of rupture presence probability.

26 APC Endpoint detection Process target : Etch Stop at Interface between Layer 1 & Layer 2 Raw data Remove frequent background Start Variation Endpoint Real time data processing for EPD: - Signal Acquisition - Real time data Treatment - Algorithm to enhance production variation - EPD condition monitoring Upward Curves Downward Curves Layer1 Layer2 Substrate Process time (sec) Signal variation enhancement by special Algorithm

27 AEC Health Monitoring : chamber management Ratio evolution Acceptable Chamber drift Stop Chamber and operate a Wet Clean For each wavelengths within a range, Ratio between spectra reference & current spectra

28 Conclusion HORIBA Introduces New OES product: EV-140 C that has UV enhanced Spectrograph and powerful software platform for total solutions of Process engineering and production. Unique Rupture algorithm is designed and developed for Critical endpoint detections. Recipe Designer is the tool dedicated to Process Engineers to develop and optimize quickly and quite automatically their processes. It includes FDC, health monitoring capabilities to reduce tools downtime, optimise quality and secure wafers production Horiba introduces New Interferometric product: DigiLEM-H to have a complete proposal depending on applications: LEM-CT Laser camera at 670 nm LEM-CT Laser camera at 905 nm DigiLEM-H Tilt head with light sources to cover nm Pattern recognition & Powerful algorithms to manage a broad range of films One unique software, whatever the sensor. User friendly operation. Ability to interface most of the current etchers from any vendor Database, Statistics & Multi-run-viewer are dedicated to process engineer and production to be sure that processes stay under control.

29 Visit our web site Leaflet, presentations, oral presentations

UVISEL. Spectroscopic Phase Modulated Ellipsometer. The Ideal Tool for Thin Film and Material Characterization

UVISEL. Spectroscopic Phase Modulated Ellipsometer. The Ideal Tool for Thin Film and Material Characterization UVISEL Spectroscopic Phase Modulated Ellipsometer The Ideal Tool for Thin Film and Material Characterization High Precision Research Spectroscopic Ellipsometer The UVISEL ellipsometer offers the best combination

More information

Using Multi Way PCA (MPCA) for Advanced Monitoring and Diagnosis for Plasma Processing based on Optical Emission Spectroscopy

Using Multi Way PCA (MPCA) for Advanced Monitoring and Diagnosis for Plasma Processing based on Optical Emission Spectroscopy Page 1 Using Multi Way PCA (MPCA) for Advanced Monitoring and Diagnosis for Plasma Processing based on Optical Emission Spectroscopy Fraunhofer Infineon Technologies Dresden Infineon Technologies München

More information

Semiconductor Manufacturing Process Monitor

Semiconductor Manufacturing Process Monitor Semiconductor Manufacturing Process Monitor OES (Optical Emission Spectroscopy) Etching End-point Monitor Real Time Interferometric Process Monitor DM-1000 series LEM-CT-670-G50 Integrated management with

More information

GSM OPTICAL MONITORING FOR HIGH PRECISION THIN FILM DEPOSITION

GSM OPTICAL MONITORING FOR HIGH PRECISION THIN FILM DEPOSITION OPTICAL MONITORING FOR HIGH PRECISION THIN FILM DEPOSITION OPTICAL MONITORING TECHNOLOGIES ENABLING OUR NEW WORLD! - ACHIEVING MORE DEMANDING THIN FILM SPECIFICATIONS - DRIVING DOWN UNIT COSTS THE GSM1101

More information

Applications Information

Applications Information Applications Information Window Materials % TRANSMISSION 100 90 80 70 60 50 40 30 20 10 UV Sapphire UV Quartz Pyrex & Glass 100 200 300 400 500 600 700 800 900 Wavelength (nm) Pyrex only In applications

More information

Real time plasma etch control by means of physical plasma parameters with HERCULES

Real time plasma etch control by means of physical plasma parameters with HERCULES Real time plasma etch control by means of physical plasma parameters with HERCULES A. Steinbach 1) S. Bernhard 1) M. Sussiek 4) S. Wurm 2) Ch. Koelbl 3) D. Knobloch 1) Siemens, Dresden Siemens at International

More information

EndpointWorks. Plasma-Therm LLC

EndpointWorks. Plasma-Therm LLC EndpointWorks Plasma-Therm LLC Outline Introduction Overview of EndpointWorks Endpoint Techniques User Interface - Menus EndpointWorks Modules Input Module Data Source Data Processing Endpoint Detection

More information

PhE102-VASE. PHE102 Variable Angle Spectroscopic Ellipsometer. Angstrom Advanced Inc. Angstrom Advanced. Angstrom Advanced

PhE102-VASE. PHE102 Variable Angle Spectroscopic Ellipsometer. Angstrom Advanced Inc. Angstrom Advanced. Angstrom Advanced Angstrom Advanced PhE102-VASE PHE102 Variable Angle Spectroscopic Ellipsometer Angstrom Advanced Instruments for Thin Film and Semiconductor Applications sales@angstromadvanced.com www.angstromadvanced.com

More information

A Laser-Based Thin-Film Growth Monitor

A Laser-Based Thin-Film Growth Monitor TECHNOLOGY by Charles Taylor, Darryl Barlett, Eric Chason, and Jerry Floro A Laser-Based Thin-Film Growth Monitor The Multi-beam Optical Sensor (MOS) was developed jointly by k-space Associates (Ann Arbor,

More information

Improving the Collection Efficiency of Raman Scattering

Improving the Collection Efficiency of Raman Scattering PERFORMANCE Unparalleled signal-to-noise ratio with diffraction-limited spectral and imaging resolution Deep-cooled CCD with excelon sensor technology Aberration-free optical design for uniform high resolution

More information

PICO MASTER 200. UV direct laser writer for maskless lithography

PICO MASTER 200. UV direct laser writer for maskless lithography PICO MASTER 200 UV direct laser writer for maskless lithography 4PICO B.V. Jan Tinbergenstraat 4b 5491 DC Sint-Oedenrode The Netherlands Tel: +31 413 490708 WWW.4PICO.NL 1. Introduction The PicoMaster

More information

LITE /LAB /SCAN /INLINE:

LITE /LAB /SCAN /INLINE: Metis Metis LITE /LAB /SCAN/ INLINE Metis LITE /LAB /SCAN /INLINE: Spectral Offline and Inline Measuring System, using Integrating Sphere, for coatings on foils/web and on large size glasses To ensure

More information

CLUSTERLINE RAD VERSATILE DYNAMIC SPUTTER SYSTEM OPTOELECTRONICS, MEMS, PHOTONICS, WIRELESS

CLUSTERLINE RAD VERSATILE DYNAMIC SPUTTER SYSTEM OPTOELECTRONICS, MEMS, PHOTONICS, WIRELESS CLUSTERLINE RAD VERSATILE DYNAMIC SPUTTER SYSTEM OPTOELECTRONICS, MEMS, PHOTONICS, WIRELESS CLUSTERLINE RAD Enabling your roadmap in thin film deposition The combination of Evatec s process know-how and

More information

Aqualog. CDOM Measurements Made Easy PARTICLE CHARACTERIZATION ELEMENTAL ANALYSIS FLUORESCENCE GRATINGS & OEM SPECTROMETERS OPTICAL COMPONENTS RAMAN

Aqualog. CDOM Measurements Made Easy PARTICLE CHARACTERIZATION ELEMENTAL ANALYSIS FLUORESCENCE GRATINGS & OEM SPECTROMETERS OPTICAL COMPONENTS RAMAN Aqualog CDOM Measurements Made Easy ELEMENTAL ANALYSIS FLUORESCENCE GRATINGS & OEM SPECTROMETERS OPTICAL COMPONENTS PARTICLE CHARACTERIZATION RAMAN SPECTROSCOPIC ELLIPSOMETRY SPR IMAGING CDOM measurements

More information

Optoelectronics ELEC-E3210

Optoelectronics ELEC-E3210 Optoelectronics ELEC-E3210 Lecture 4 Spring 2016 Outline 1 Lateral confinement: index and gain guiding 2 Surface emitting lasers 3 DFB, DBR, and C3 lasers 4 Quantum well lasers 5 Mode locking P. Bhattacharya:

More information

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography UV direct laser writer for maskless lithography Unprecedented finesse in creating 3D micro structures Highest resolution in the market utilizing a 405 nm diode laser Structures as small as 300 nm 375 nm

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

OPT3: Operating Procedure for Horiba Jobin Yvon LabRam Aramis Raman/PL System See LabSpec_6_2 General User Quick Start Guide on the computer desktop

OPT3: Operating Procedure for Horiba Jobin Yvon LabRam Aramis Raman/PL System See LabSpec_6_2 General User Quick Start Guide on the computer desktop OPT3: Operating Procedure for Horiba Jobin Yvon LabRam Aramis Raman/PL System See LabSpec_6_2 General User Quick Start Guide on the computer desktop 1. Log in usage using the SMIF web site 2. Turn power

More information

Applications of Steady-state Multichannel Spectroscopy in the Visible and NIR Spectral Region

Applications of Steady-state Multichannel Spectroscopy in the Visible and NIR Spectral Region Feature Article JY Division I nformation Optical Spectroscopy Applications of Steady-state Multichannel Spectroscopy in the Visible and NIR Spectral Region Raymond Pini, Salvatore Atzeni Abstract Multichannel

More information

SPECTRAL SCANNER. Recycling

SPECTRAL SCANNER. Recycling SPECTRAL SCANNER The Spectral Scanner, produced on an original project of DV s.r.l., is an instrument to acquire with extreme simplicity the spectral distribution of the different wavelengths (spectral

More information

Chemistry 524--"Hour Exam"--Keiderling Mar. 19, pm SES

Chemistry 524--Hour Exam--Keiderling Mar. 19, pm SES Chemistry 524--"Hour Exam"--Keiderling Mar. 19, 2013 -- 2-4 pm -- 170 SES Please answer all questions in the answer book provided. Calculators, rulers, pens and pencils permitted. No open books allowed.

More information

Experimental Analysis of Luminescence in Printed Materials

Experimental Analysis of Luminescence in Printed Materials Experimental Analysis of Luminescence in Printed Materials A. D. McGrath, S. M. Vaezi-Nejad Abstract - This paper is based on a printing industry research project nearing completion [1]. While luminescent

More information

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator Figure 4 Advantage of having smaller focal spot on CCD with super-fine pixels: Larger focal point compromises the sensitivity, spatial resolution, and accuracy. Figure 1 Typical microlens array for Shack-Hartmann

More information

Add CLUE to your SEM. High-efficiency CL signal-collection. Designed for your SEM and application. Maintains original SEM functionality

Add CLUE to your SEM. High-efficiency CL signal-collection. Designed for your SEM and application. Maintains original SEM functionality Add CLUE to your SEM Designed for your SEM and application The CLUE family offers dedicated CL systems for imaging and spectroscopic analysis suitable for most SEMs. In addition, when combined with other

More information

SpectraPro 2150 Monochromators and Spectrographs

SpectraPro 2150 Monochromators and Spectrographs SpectraPro 215 Monochromators and Spectrographs SpectraPro 215 15 mm imaging spectrographs and monochromators from are the industry standard for researchers who demand the highest quality data. Acton monochromators

More information

Company synopsis. MSU series

Company synopsis. MSU series MSU series 1 2 Company synopsis Majantys, part of Pleiades Group along with Pleiades Instruments, is an optoelectronic system maker, designing and manufacturing for specific systems such as photometric

More information

M J.A. Woollam Co., Inc. Ellipsometry Solutions

M J.A. Woollam Co., Inc. Ellipsometry Solutions M-2000 J.A. Woollam Co., Inc. Ellipsometry Solutions Speed Discover the Difference Focused M-2000 The M-2000 line of spectroscopic ellipsometers is engineered to meet the diverse demands of thin film characterization.

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

BaySpec SuperGamut OEM

BaySpec SuperGamut OEM BaySpec SuperGamut OEM Spectrographs & Spectrometers RUGGED SOLID STATE HIGH RESOLUTION OPTIMIZED COOLING COST EFFECTIVE HIGH THROUGHPUT www.bayspec.com Specifications Model UV-NIR VIS-NIR NIR 900-1700nm

More information

Optodevice Data Book ODE I. Rev.9 Mar Opnext Japan, Inc.

Optodevice Data Book ODE I. Rev.9 Mar Opnext Japan, Inc. Optodevice Data Book ODE-408-001I Rev.9 Mar. 2003 Opnext Japan, Inc. Section 1 Operating Principles 1.1 Operating Principles of Laser Diodes (LDs) and Infrared Emitting Diodes (IREDs) 1.1.1 Emitting Principles

More information

UVISEL 2. Interface. Thickness. Refractive index. Roughness. Extinction coefficient. Scientific Ellipsometric Platform

UVISEL 2. Interface. Thickness. Refractive index. Roughness. Extinction coefficient. Scientific Ellipsometric Platform Scientific Ellipsometric Platform The Ultimate Solution to Every Challenge in Thin Film Measurement Refractive index Interface Roughness Extinction coefficient Thickness Å to µm A Breakthrough in Thin

More information

Maya2000 Pro Spectrometer

Maya2000 Pro Spectrometer now with triggering! Maya2000 Pro Our Maya2000 Pro Spectrometer offers you the perfect solution for applications that demand low light-level, UV-sensitive operation. This back-thinned, 2D FFT-CCD, uncooled

More information

ECEN. Spectroscopy. Lab 8. copy. constituents HOMEWORK PR. Figure. 1. Layout of. of the

ECEN. Spectroscopy. Lab 8. copy. constituents HOMEWORK PR. Figure. 1. Layout of. of the ECEN 4606 Lab 8 Spectroscopy SUMMARY: ROBLEM 1: Pedrotti 3 12-10. In this lab, you will design, build and test an optical spectrum analyzer and use it for both absorption and emission spectroscopy. The

More information

Examination Optoelectronic Communication Technology. April 11, Name: Student ID number: OCT1 1: OCT 2: OCT 3: OCT 4: Total: Grade:

Examination Optoelectronic Communication Technology. April 11, Name: Student ID number: OCT1 1: OCT 2: OCT 3: OCT 4: Total: Grade: Examination Optoelectronic Communication Technology April, 26 Name: Student ID number: OCT : OCT 2: OCT 3: OCT 4: Total: Grade: Declaration of Consent I hereby agree to have my exam results published on

More information

Advanced Research Raman System Raman Spectroscopy Systems

Advanced Research Raman System Raman Spectroscopy Systems T600 Advanced Research Raman System Raman Spectroscopy Systems T600 Advanced Research Raman System T600 Triple stage Raman Spectrometer: The only solution for unprecedented stability and performance! Robust

More information

Innovative Technologies for RF & Power Applications

Innovative Technologies for RF & Power Applications Innovative Technologies for RF & Power Applications > Munich > Nov 14, 2017 1 Key Technologies Key Technologies Veeco Market Focus Advanced Packaging, MEMS & RF Lighting, Display & Power Electronics Lithography

More information

Aqualog. Water Quality Measurements Made Easy PARTICLE CHARACTERIZATION ELEMENTAL ANALYSIS FLUORESCENCE

Aqualog. Water Quality Measurements Made Easy PARTICLE CHARACTERIZATION ELEMENTAL ANALYSIS FLUORESCENCE Aqualog Water Quality Measurements Made Easy ELEMENTAL ANALYSIS FLUORESCENCE GRATINGS & OEM SPECTROMETERS OPTICAL COMPONENTS PARTICLE CHARACTERIZATION RAMAN SPECTROSCOPIC ELLIPSOMETRY SPR IMAGING Water

More information

Coating Thickness Measurement System

Coating Thickness Measurement System Spectral Sensors by Carl Zeiss Coating Thickness Measurement System INTRODUCTION Designed to meet the needs of industry, the LABCOAT system provides a simple and precise way to measure transparent coatings

More information

LAB V. LIGHT EMITTING DIODES

LAB V. LIGHT EMITTING DIODES LAB V. LIGHT EMITTING DIODES 1. OBJECTIVE In this lab you will measure the I-V characteristics of Infrared (IR), Red and Blue light emitting diodes (LEDs). Using a photodetector, the emission intensity

More information

Stable OES System for Fault Detection and Process Monitoring

Stable OES System for Fault Detection and Process Monitoring Stable OES System for Fault Detection and Process Monitoring John D. Corless, K. C. Harvey, Andrew Kueny, Mike Whelan Verity Instruments, Inc. 2901 Eisenhower St. Carrollton, TX 75007 customerservice@verityinst.com

More information

TriVista. Universal Raman Solution

TriVista. Universal Raman Solution TriVista Universal Raman Solution Why choose the Princeton Instruments/Acton TriVista? Overview Raman Spectroscopy systems can be derived from several dispersive components depending on the level of performance

More information

Performance Comparison of Spectrometers Featuring On-Axis and Off-Axis Grating Rotation

Performance Comparison of Spectrometers Featuring On-Axis and Off-Axis Grating Rotation Performance Comparison of Spectrometers Featuring On-Axis and Off-Axis Rotation By: Michael Case and Roy Grayzel, Acton Research Corporation Introduction The majority of modern spectrographs and scanning

More information

QE65000 Spectrometer. Scientific-Grade Spectroscopy in a Small Footprint. now with. Spectrometers

QE65000 Spectrometer. Scientific-Grade Spectroscopy in a Small Footprint. now with. Spectrometers QE65000 Spectrometer Scientific-Grade Spectroscopy in a Small Footprint QE65000 The QE65000 Spectrometer is the most sensitive spectrometer we ve developed. Its Hamamatsu FFT-CCD detector provides 90%

More information

Ion beam etch and deposition systems

Ion beam etch and deposition systems Ion beam etch and deposition systems The Business of Science Ion beam systems Ion beam technology offers unique abilities in etch and deposition Oxford Instruments offers a single tool, allowing the flexibility

More information

EE119 Introduction to Optical Engineering Spring 2003 Final Exam. Name:

EE119 Introduction to Optical Engineering Spring 2003 Final Exam. Name: EE119 Introduction to Optical Engineering Spring 2003 Final Exam Name: SID: CLOSED BOOK. THREE 8 1/2 X 11 SHEETS OF NOTES, AND SCIENTIFIC POCKET CALCULATOR PERMITTED. TIME ALLOTTED: 180 MINUTES Fundamental

More information

Miniaturized Spectroradiometer

Miniaturized Spectroradiometer Miniaturized Spectroradiometer Thomas Morgenstern, Gudrun Bornhoeft, Steffen Goerlich JETI Technische Instrumente GmbH, Jena, Germany Abstract This paper describes the basics of spectroradiometric instruments

More information

Optical In-line Control of Web Coating Processes

Optical In-line Control of Web Coating Processes AIMCAL Europe 2012 Peter Lamparter Web Coating Conference Carl Zeiss MicroImaging GmbH 11-13 June / Prague, Czech Republic Carl-Zeiss-Promenade 10 07745 Jena, Germany p.lamparter@zeiss.de +49 3641 642221

More information

Physics of Waveguide Photodetectors with Integrated Amplification

Physics of Waveguide Photodetectors with Integrated Amplification Physics of Waveguide Photodetectors with Integrated Amplification J. Piprek, D. Lasaosa, D. Pasquariello, and J. E. Bowers Electrical and Computer Engineering Department University of California, Santa

More information

Säntis 300 Full wafer cathodoluminescence control up to 300 mm diameter

Säntis 300 Full wafer cathodoluminescence control up to 300 mm diameter Säntis 300 Full wafer cathodoluminescence control up to 300 mm diameter Overview The Säntis 300 system has been designed for fully automated control of 150, 200 and 300 mm wafers. Attolight s Quantitative

More information

Mode analysis of Oxide-Confined VCSELs using near-far field approaches

Mode analysis of Oxide-Confined VCSELs using near-far field approaches Annual report 998, Dept. of Optoelectronics, University of Ulm Mode analysis of Oxide-Confined VCSELs using near-far field approaches Safwat William Zaki Mahmoud We analyze the transverse mode structure

More information

InP-based Waveguide Photodetector with Integrated Photon Multiplication

InP-based Waveguide Photodetector with Integrated Photon Multiplication InP-based Waveguide Photodetector with Integrated Photon Multiplication D.Pasquariello,J.Piprek,D.Lasaosa,andJ.E.Bowers Electrical and Computer Engineering Department University of California, Santa Barbara,

More information

Fast Laser Raman Microscope RAMAN

Fast Laser Raman Microscope RAMAN Fast Laser Raman Microscope RAMAN - 11 www.nanophoton.jp Fast Raman Imaging A New Generation of Raman Microscope RAMAN-11 developed by Nanophoton was created by combining confocal laser microscope technology

More information

Horiba LabRAM ARAMIS Raman Spectrometer Revision /28/2016 Page 1 of 11. Horiba Jobin-Yvon LabRAM Aramis - Raman Spectrometer

Horiba LabRAM ARAMIS Raman Spectrometer Revision /28/2016 Page 1 of 11. Horiba Jobin-Yvon LabRAM Aramis - Raman Spectrometer Page 1 of 11 Horiba Jobin-Yvon LabRAM Aramis - Raman Spectrometer The Aramis Raman system is a software selectable multi-wavelength Raman system with mapping capabilities with a 400mm monochromator and

More information

MoS 2 nanosheet phototransistors with thicknessmodulated

MoS 2 nanosheet phototransistors with thicknessmodulated Supporting Information MoS 2 nanosheet phototransistors with thicknessmodulated optical energy gap Hee Sung Lee, Sung-Wook Min, Youn-Gyung Chang, Park Min Kyu, Taewook Nam, # Hyungjun Kim, # Jae Hoon Kim,

More information

Dual-FL. World's Fastest Fluorometer. Measure absorbance spectra and fluorescence simultaneously FLUORESCENCE

Dual-FL. World's Fastest Fluorometer. Measure absorbance spectra and fluorescence simultaneously FLUORESCENCE Dual-FL World's Fastest Fluorometer Measure absorbance spectra and fluorescence simultaneously FLUORESCENCE 100 Times Faster Data Collection The only simultaneous absorbance and fluorescence system available

More information

Fast Laser Raman Microscope RAMAN

Fast Laser Raman Microscope RAMAN Fast Laser Raman Microscope RAMAN - 11 www.nanophoton.jp Fast Raman Imaging A New Generation of Raman Microscope RAMAN-11 developed by Nanophoton was created by combining confocal laser microscope technology

More information

Luminous Equivalent of Radiation

Luminous Equivalent of Radiation Intensity vs λ Luminous Equivalent of Radiation When the spectral power (p(λ) for GaP-ZnO diode has a peak at 0.69µm) is combined with the eye-sensitivity curve a peak response at 0.65µm is obtained with

More information

Kalman Filtering Methods for Semiconductor Manufacturing

Kalman Filtering Methods for Semiconductor Manufacturing Kalman Filtering Methods for Semiconductor Manufacturing Kameshwar Poolla Mechanical Engineering Electrical Engineering University of California Berkeley Outline Kalman Filtering Overview Ingredients Applications

More information

IL550 & IL560 Series Optical Monitors for The ULTIMATE in Thin Film Coating Precision, Accuracy & Control

IL550 & IL560 Series Optical Monitors for The ULTIMATE in Thin Film Coating Precision, Accuracy & Control IL550 & IL560 Series Optical Monitors for The ULTIMATE in Thin Film Coating Precision, Accuracy & Control Slide 0 Why Use Optical Monitoring? Quartz crystal measures the deposited mass Typical accuracy

More information

AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies AIXUV GmbH, Steinbachstrasse 15, D Aachen, Germany

AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies AIXUV GmbH, Steinbachstrasse 15, D Aachen, Germany AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies, Steinbachstrasse 5, D-, Germany and partners developed several tools for EUV-reflectometry in different designs for various types of applications.

More information

Optical Characterization and Defect Inspection for 3D Stacked IC Technology

Optical Characterization and Defect Inspection for 3D Stacked IC Technology Minapad 2014, May 21 22th, Grenoble; France Optical Characterization and Defect Inspection for 3D Stacked IC Technology J.Ph.Piel, G.Fresquet, S.Perrot, Y.Randle, D.Lebellego, S.Petitgrand, G.Ribette FOGALE

More information

Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: Signature:

Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: Signature: Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: PID: Signature: CLOSED BOOK. TWO 8 1/2 X 11 SHEET OF NOTES (double sided is allowed), AND SCIENTIFIC POCKET CALCULATOR

More information

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE Plan Optik AG Plan Optik AG PRODUCT CATALOGUE 2 In order to service the high demand of wafers more quickly, Plan Optik provides off the shelf products in sizes from 2 up to 300mm diameter. Therefore Plan

More information

Thermo Scientific icap 7000 Plus Series ICP-OES: Innovative ICP-OES optical design

Thermo Scientific icap 7000 Plus Series ICP-OES: Innovative ICP-OES optical design TECHNICAL NOTE 43333 Thermo Scientific icap 7000 Plus Series ICP-OES: Innovative ICP-OES optical design Keywords Optical design, Polychromator, Spectrometer Key Benefits The Thermo Scientific icap 7000

More information

CONFIGURING. Your Spectroscopy System For PEAK PERFORMANCE. A guide to selecting the best Spectrometers, Sources, and Detectors for your application

CONFIGURING. Your Spectroscopy System For PEAK PERFORMANCE. A guide to selecting the best Spectrometers, Sources, and Detectors for your application CONFIGURING Your Spectroscopy System For PEAK PERFORMANCE A guide to selecting the best Spectrometers, s, and s for your application Spectral Measurement System Spectral Measurement System Spectrograph

More information

Novel Integrable Semiconductor Laser Diodes

Novel Integrable Semiconductor Laser Diodes Novel Integrable Semiconductor Laser Diodes J.J. Coleman University of Illinois 1998-1999 Distinguished Lecturer Series IEEE Lasers and Electro-Optics Society Definition of the Problem Why aren t conventional

More information

Catalogue T64000/U1000Bis 14/01/05 10:11 Page 2 Raman Division

Catalogue T64000/U1000Bis 14/01/05 10:11 Page 2 Raman Division Raman Division Summary Introduction to Research Raman T64000 Technology U1000 Technology Applications of Research Raman High resolution, Low frequency, UV NIR Raman, Resonance Raman, Raman mapping Microscopes

More information

metcon meteorologieconsultgmbh, Instruments for Atmospheric Research W1aa_Feb_2017_1.doc 1 -

metcon meteorologieconsultgmbh, Instruments for Atmospheric Research W1aa_Feb_2017_1.doc 1 - metcon meteorologieconsultgmbh, Instruments for Atmospheric Research W1aa_Feb_2017_1.doc 1 - ACTINIC FLUX SPECTRAL RADIOMETERS Ultra-fast CCD-Detector Spectrometer, UVB enhanced Cooled CCD, 512 pixel *

More information

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE Executive Summary Jay Sasserath, PhD Intelligent Micro Patterning LLC St. Petersburg, Florida Processing

More information

Fastest high definition Raman imaging. Fastest Laser Raman Microscope RAMAN

Fastest high definition Raman imaging. Fastest Laser Raman Microscope RAMAN Fastest high definition Raman imaging Fastest Laser Raman Microscope RAMAN - 11 www.nanophoton.jp Observation A New Generation in Raman Observation RAMAN-11 developed by Nanophoton was newly created by

More information

Specifications. Offers the best spatial resolution for multi-stripe spectroscopy. Provides the user the choice of either high accuracy slit mechanism

Specifications. Offers the best spatial resolution for multi-stripe spectroscopy. Provides the user the choice of either high accuracy slit mechanism SpectraPro Series Monochromators and Spectrographs The PI/Acton SpectraPro Series imaging spectrographs and monochromators represent the latest advance in the industry-standard SpectraPro family. The SpectraPro

More information

The only simultaneous absorbance and f uorescence system for water quality analysis! Aqualog

The only simultaneous absorbance and f uorescence system for water quality analysis! Aqualog The only simultaneous absorbance and fluorescence system for water quality analysis! Aqualog CDOM measurements made easy. The only simultaneous absorbance and fluorescence system for water quality analysis!

More information

Preliminary Characterization Results: Fiber-Coupled, Multi-channel, Hyperspectral Spectrographs

Preliminary Characterization Results: Fiber-Coupled, Multi-channel, Hyperspectral Spectrographs Preliminary Characterization Results: Fiber-Coupled, Multi-channel, Hyperspectral Spectrographs Carol Johnson, NIST MODIS-VIIRS Team Meeting January 26-28, 2010 Washington, DC Marine Optical System & Data

More information

Vacuum Ultra Violet Monochromator

Vacuum Ultra Violet Monochromator Feature Article JY Division I nformation Vacuum Ultra Violet Monochromator Erick Jourdain Abstract Taking the advantage of Jobin Yvon(JY) leading position in the design and realisation of diffraction grating

More information

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions Difrotec Product & Services Ultra high accuracy interferometry & custom optical solutions Content 1. Overview 2. Interferometer D7 3. Benefits 4. Measurements 5. Specifications 6. Applications 7. Cases

More information

Vertical External Cavity Surface Emitting Laser

Vertical External Cavity Surface Emitting Laser Chapter 4 Optical-pumped Vertical External Cavity Surface Emitting Laser The booming laser techniques named VECSEL combine the flexibility of semiconductor band structure and advantages of solid-state

More information

Chapter 5 5.1 What are the factors that determine the thickness of a polystyrene waveguide formed by spinning a solution of dissolved polystyrene onto a substrate? density of polymer concentration of polymer

More information

Jan Bogaerts imec

Jan Bogaerts imec imec 2007 1 Radiometric Performance Enhancement of APS 3 rd Microelectronic Presentation Days, Estec, March 7-8, 2007 Outline Introduction Backside illuminated APS detector Approach CMOS APS (readout)

More information

Evaluation of high power laser diodes for space applications: effects of the gaseous environment

Evaluation of high power laser diodes for space applications: effects of the gaseous environment Evaluation of high power laser diodes for space applications: effects of the gaseous environment Jorge Piris, E. M. Murphy, B. Sarti European Space Agency, Optoelectronics section, ESTEC. M. Levi, G. Klumel,

More information

Abstract No. 32. Arne Bengtson and Tania Irebo. Swerea KIMAB AB, Isafjordsgatan 28A, SE Kista, Sweden

Abstract No. 32. Arne Bengtson and Tania Irebo. Swerea KIMAB AB, Isafjordsgatan 28A, SE Kista, Sweden Abstract No. 32 Ultraviolet Fluorescence using a deep UV LED source and multiple optical filters new possibilities for advanced on-line surface inspection Arne Bengtson and Tania Irebo Swerea KIMAB AB,

More information

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links Monolithically integrated InGaAs nanowires on 3D structured silicon-on-insulator as a new platform for full optical links Hyunseok Kim 1, Alan C. Farrell 1, Pradeep Senanayake 1, Wook-Jae Lee 1,* & Diana.

More information

IBIL setup operation manual for SynerJY software version

IBIL setup operation manual for SynerJY software version IBIL setup operation manual for SynerJY software version 1.8.5.0 Manual version 1.0, 31/10/2008 Author: Carlos Marques Equipment Managers: Carlos Marques, +351219946084, cmarques@itn.pt Luís Alves, +351219946112,

More information

Introduction Fundamentals of laser Types of lasers Semiconductor lasers

Introduction Fundamentals of laser Types of lasers Semiconductor lasers ECE 5368 Introduction Fundamentals of laser Types of lasers Semiconductor lasers Introduction Fundamentals of laser Types of lasers Semiconductor lasers How many types of lasers? Many many depending on

More information

MICRO SPECTRAL SCANNER

MICRO SPECTRAL SCANNER MICRO SPECTRAL SCANNER The OEM μspectral Scanner is a components kit that can be interfaced to existing microscope ready to accept cameras with Cmount to obtain an hyper-spectral imaging system. With OEM

More information

Semiconductor Lasers Semiconductors were originally pumped by lasers or e-beams First diode types developed in 1962: Create a pn junction in

Semiconductor Lasers Semiconductors were originally pumped by lasers or e-beams First diode types developed in 1962: Create a pn junction in Semiconductor Lasers Semiconductors were originally pumped by lasers or e-beams First diode types developed in 1962: Create a pn junction in semiconductor material Pumped now with high current density

More information

A Residual Gas Analyzer for Dry Etching Process

A Residual Gas Analyzer for Dry Etching Process FFeature Article Article Makoto MATSUHAMA Concerning the dry process of the semiconductor device manufacturing, the monitoring of etching chamber conditions (pressure, temperature, gas concentration,...)

More information

High specification CCD-based spectrometry for metals analysis

High specification CCD-based spectrometry for metals analysis High specification CCD-based spectrometry for metals analysis New developments in hardware and spectrum processing enable the ARL QUANTRIS CCD-based spectrometer to achieve the performance of photo-multiplier

More information

Aqualog. Water Quality Measurements Made Easy FLUORESCENCE

Aqualog. Water Quality Measurements Made Easy FLUORESCENCE Aqualog Water Quality Measurements Made Easy FLUORESCENCE Water quality measurements made easy The only simultaneous absorbance and fluorescence system for water quality analysis! The new Aqualog is the

More information

Instructions for the Experiment

Instructions for the Experiment Instructions for the Experiment Excitonic States in Atomically Thin Semiconductors 1. Introduction Alongside with electrical measurements, optical measurements are an indispensable tool for the study of

More information

Flat Top, Ultra-Narrow Band Pass Optical Filters Using Plasma Deposited Hard Oxide Coatings

Flat Top, Ultra-Narrow Band Pass Optical Filters Using Plasma Deposited Hard Oxide Coatings Flat Top, Ultra-Narrow Band Pass Optical Filters Using Plasma Deposited Hard Oxide Coatings Alluxa Engineering Staff September 2012 0 1 0.1 1 cav 2 cav 3 cav 4 cav 5 cav 0.01 0.001 635 636 637 638 639

More information

Ion Beam Lithography next generation nanofabrication

Ion Beam Lithography next generation nanofabrication Ion Beam Lithography next generation nanofabrication EFUG Bordeaux 2011 ion beams develop Lloyd Peto IBL sales manager Copyright 2011 by Raith GmbH ionline new capabilities You can now Apply an ion beam

More information

MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University

MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University Practice Final Exam 1 Read the questions carefully Label all figures

More information

Semiconductor Lasers Semiconductors were originally pumped by lasers or e-beams First diode types developed in 1962: Create a pn junction in

Semiconductor Lasers Semiconductors were originally pumped by lasers or e-beams First diode types developed in 1962: Create a pn junction in Semiconductor Lasers Semiconductors were originally pumped by lasers or e-beams First diode types developed in 1962: Create a pn junction in semiconductor material Pumped now with high current density

More information

System100Pro. Production tools for wafer processing. The Business of Science

System100Pro. Production tools for wafer processing. The Business of Science System100Pro Production tools for wafer processing The Business of Science Process tools & modules Oxford Instruments' System100Pro production tools are built on 200 mm, 300 mm and multiwafer batch process

More information

Multispectral. imaging device. ADVANCED LIGHT ANALYSIS by. Most accurate homogeneity MeasureMent of spectral radiance. UMasterMS1 & UMasterMS2

Multispectral. imaging device. ADVANCED LIGHT ANALYSIS by. Most accurate homogeneity MeasureMent of spectral radiance. UMasterMS1 & UMasterMS2 Multispectral imaging device Most accurate homogeneity MeasureMent of spectral radiance UMasterMS1 & UMasterMS2 ADVANCED LIGHT ANALYSIS by UMaster Ms Multispectral Imaging Device UMaster MS Description

More information

Sabeeh Irfan Ahmad, Physlab, 23 July 2016

Sabeeh Irfan Ahmad, Physlab, 23 July 2016 Horiba ihr550 Spectrometer QuickInstallation and Operation Guide Sabeeh Irfan Ahmad, Physlab, 23 July 2016 The Horiba ihr550 is an imaging spectrometer that can be used both as a spectrograph and as a

More information

LAM TCP 9400 PTX Silicon Trench Etch Process Monitoring for Fault Detection and Classification

LAM TCP 9400 PTX Silicon Trench Etch Process Monitoring for Fault Detection and Classification LAM TCP 9400 PTX Silicon Trench Etch Process Monitoring for Fault Detection and Classification Teina Pardue Teina.Pardue@fairchildsemi.com Fairchild Semiconductor 3333 West 9000 South West Jordan Utah

More information

Sensitivity Enhancement of Bimaterial MOEMS Thermal Imaging Sensor Array using 2-λ readout

Sensitivity Enhancement of Bimaterial MOEMS Thermal Imaging Sensor Array using 2-λ readout Sensitivity Enhancement of Bimaterial MOEMS Thermal Imaging Sensor Array using -λ readout O. Ferhanoğlu, H. Urey Koç University, Electrical Engineering, Istanbul-TURKEY ABSTRACT Diffraction gratings integrated

More information

JETI Specbos Instruments

JETI Specbos Instruments Spectral measuring instruments for various applications JETI Specbos Instruments The new Specbos family offers compact, spectrometric instruments, designed to measure the color coordinates, spectral characteristics

More information