WebHenry Web Based RLC interconnect tool

Size: px
Start display at page:

Download "WebHenry Web Based RLC interconnect tool"

Transcription

1 WebHenry Web Based RLC interconnect tool Project Leader: Prof Lei He Students : Min Xu, Karan Mehra EDA Lab ( ECE Dept., University of Wisconsin, Madison, WI Adapted from Min Xu s GLS 01 presentation

2 REFERENCES [1] L. He, N. Chang, S. Lin, and O. S. Nakagawa, "An Efficient Inductance Modeling for On-chip Interconnects", IEEE Custom Integrated Circuits Conference, May [2] N. Chang, S. Lin, L. He, O. S. Nakagawa, and W. Xie, "Clocktree RLC extraction with Efficient Inductance Modeling", IEEE/ACM Design Automation and Test in Europe, March [3] Min Xu and Lei He, "An efficient model for frequencybased on-chip inductance," Design Automation Conference, June. 2

3 Inductance for GHz Designs Interconnect impedance is more than resistance Z = R +jωl ω is decided not by the clock frequency, but by clock edge ω 1/tr On-chip inductance must be considered when ωl is comparable to R Inductive coupling is a long range effect 3

4 Resistance and Inductance L S W W L = 2000u, W = 0.8u, T = 2.0u, S = 0.8u E-09 Impedance R wl Inductance(H) 3.10E E E E E-09 Self mutual E E E E E E E E E E+14 frequency (100M-100G) frequency (100M-100T)Hz Figure 1: R and wl L for a single long wire Figure 2: Ls and Lx for two parallel wires 4

5 Related Work Accurate but slow approach Numerical extraction (FastHenry: Kamon et. al.94 MTT) Too slow to be applied on whole chip level simulation and design iteration Fast but less accurate approach Table method for bus structure (He et. al. 99 CICC) Analytical methods for parallel wires (Gala et. al. 00 and Qi et. al. 00 ) Accurate enough for layout design and verification 5

6 Our Contributions Developed a table & formula driven extraction tool For arbitrary wires Accuracy: ±5% for most cases Proposed the so called normalized circuit model to replace full RLC circuit Experimentally verified their equivalence Less complexity and shorter runtime: 11x speedup in simulation 6

7 Definition of Loop Inductance I i I j V i V j The loop inductance is L ij = µ 4π 1 a a i j 1 I I i j loop a i i loop a ij j j 1 di r i di j da i da j 7

8 Loop Inductance for N Traces Tw L Ts L Tw Tw Tw Ts Ts Ts R Tw R t L t 1 t 2 t 3 t R Assume edge traces are grounded leads to 3x3 loop inductance matrix Inductance has a long range effect e.g., non-negligible coupling between t 1 and t 3 with t 2 between them 8

9 Table in Brute-Force Way is Expensive Tw L t L Ts L Tw Tw Tw Ts Ts t 1 t 2 t 3 Ts R Tw R Self inductance has nine dimensions: (n, length, location,tw L,Ts L,Tw,Ts,Tw R,Ts R ) Mutual inductance has ten dimensions: (n, length, location1, location2,tw L,Ts L,Tw,Ts,Tw R,Ts R ) Length is needed because inductance is not linearly scalable t R

10 Definition of Partial Inductance c i c j V i l i lj V j b i b j Partial inductance is the portion of loop inductance for a segment when its current returns via the infinity called partial element equivalent circuit (PEEC) model If current is uniform, the partial inductance is L ij = µ 4π 1 a a i j c i j b a i i c b a j j dl i r dl ij j da i da j 10

11 Partial Inductance for N Traces Tw L Ts L Tw Tw Tw Ts Ts Ts R Tw R tl t 1 t 2 t 3 t R Treat edge traces same as inner traces lead to 5x5 partial inductance table Partial inductance model is more accurate compared to loop inductance model 11

12 Two Foundations By PEEC Definition, He et. al. (CICC 99) pointed out two foundations: Self inductance of a wire is solely depended on the wire itself Mutual inductance of two wires is solely depended on these two wires themselves 12

13 Table-based approach (He et. el. 99 CICC) Inductance table for parallel wires Self inductance table Length -- L Width -- W Thickness -- T Frequency -- F Mutual inductance table L, W, T, F Space -- S 13

14 Displaced parallel wires? Based on foundation for mutual inductance: Solve ten dimensional problem L1, L2, W1, W2, T1, T2, Sv, Sh, D, F Too big, too slow A formula is proposed to use only five dimensional tables 14

15 Formula for Lateral Dimension L ab = a b Mutual inductance L m1 L m2 L m3 L m4 15

16 Formula for Cross-section Linear approximation T 2 w 2 s + s w 1 s 2 T 1 16

17 Accuracy WebHenry versus FastHenry 400 random displaced parallel wires cases 17

18 Error Distribution 5% most cases Bigger error only found in smaller inductance values 18

19 Inductance Circuit Modeling Full and normalized circuit model for nondisplaced parallel wires 19

20 Full RLC Circuit Model Linear RC number Quadratic L number, O(n 2 ) 20

21 Normalized RLC Circuit Model Again, linear RC number Linear L number too! 21

22 Full Versus Normalized Two waveforms are almost identical Running time: Full 99.0 seconds Normalized 9.1 seconds 22

23 Applications Simultaneous shield insertion and net ordering for signal integrity [He-Lepak, ISPD 00] [Lepak-et al, DAC 01] Interconnect analysis using decoupling model [Yin-He, ASP-DAC 01] Simultaneous signal and power routing [Ma-He, SLIP 01] 23

24 Conclusion A table-formula driven extraction method is proposed Very efficient Reasonably accurate Frequency dependent Two circuit models are studied Verified the normalized model is accurate and efficient 24

25 25

26 On-chip Inductance Wire impedance: Z = R + jϖl Copper interconnects makes R ϖ is proportional to signal rising time 1 GHz clock ϖ = 2π*10GHz Inductive coupling is a long range effect Partial inductance model is preferred. Let the circuit simulator to determine the signal return path 26

27 The PEEC Model Eliminate the current return path problem L (loop) I 1 I 2 L 1 I 3 K 13 K 12 K 23 L 2 I 1 I 2 I 4 Assume current return from infinite L 3 27

Signal Integrity for Gigascale SOC Design. Professor Lei He ECE Department University of Wisconsin, Madison

Signal Integrity for Gigascale SOC Design. Professor Lei He ECE Department University of Wisconsin, Madison Signal Integrity for Gigascale SOC Design Professor Lei He ECE Department University of Wisconsin, Madison he@ece.wisc.edu http://eda.ece.wisc.edu Outline Capacitive noise Technology trends Capacitance

More information

An Efficient Model for Frequency-Dependent On-Chip Inductance

An Efficient Model for Frequency-Dependent On-Chip Inductance An Efficient Model for Frequency-Dependent On-Chip Inductance Min Xu ECE Department University of Wisconsin-Madison Madison, WI 53706 mxu@cae.wisc.edu Lei He ECE Department University of Wisconsin-Madison

More information

Clocktree RLC Extraction with Efficient Inductance Modeling

Clocktree RLC Extraction with Efficient Inductance Modeling Clocktree RLC Extraction with Efficient Inductance Modeling Norman Chang, hen Lin, Lei He*, O. am Nakagawa, and Weize Xie Hewlett-Packard Laboratories, Palo Alto, CA 94303, UA *ECE Dept., University of

More information

Clocktree RLC Extraction with Efficient Inductance Modeling

Clocktree RLC Extraction with Efficient Inductance Modeling Clocktree RLC Extraction with Efficient Inductance Modeling Norman Chang, Shen Lin, Lei He*, O. Sam Nakagawa, and Weize Xie Hewlett-Packard Laboratories, Palo Alto, CA, USA *University of Wisconsin, Madison,

More information

On-Chip Inductance Modeling

On-Chip Inductance Modeling On-Chip Inductance Modeling David Blaauw Kaushik Gala ladimir Zolotov Rajendran Panda Junfeng Wang Motorola Inc., Austin TX 78729 ABSTRACT With operating frequencies approaching the gigahertz range, inductance

More information

Inductance 101: Analysis and Design Issues

Inductance 101: Analysis and Design Issues Inductance 101: Analysis and Design Issues Kaushik Gala, David Blaauw, Junfeng Wang, Vladimir Zolotov, Min Zhao Motorola Inc., Austin TX 78729 kaushik.gala@motorola.com Abstract With operating frequencies

More information

On-Chip Inductance Modeling and Analysis

On-Chip Inductance Modeling and Analysis On-Chip Inductance Modeling and Analysis Kaushik Gala, ladimir Zolotov, Rajendran Panda, Brian Young, Junfeng Wang, David Blaauw Motorola Inc., Austin TX 78729 kaushik.gala@motorola.com Abstract With operating

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

Worst Case RLC Noise with Timing Window Constraints

Worst Case RLC Noise with Timing Window Constraints Worst Case RLC Noise with Timing Window Constraints Jun Chen Electrical Engineering Department University of California, Los Angeles jchen@ee.ucla.edu Lei He Electrical Engineering Department University

More information

High-Speed Digital System Design Fall Semester. Naehyuck Chang Dept. of EECS/CSE Seoul National University

High-Speed Digital System Design Fall Semester. Naehyuck Chang Dept. of EECS/CSE Seoul National University High-Speed Digital System Design 4190.309 2008 Fall Semester Naehyuck Chang Dept. of EECS/CSE Seoul National University naehyuck@snu.ac.kr 1 Traditional demand Speed is one of the most important design

More information

ASPDAC Tutorial: Power, Timing & Signal Integrity in SoC designs Section II

ASPDAC Tutorial: Power, Timing & Signal Integrity in SoC designs Section II ASPDAC Tutorial: Power, Timing & Signal Integrity in SoC designs Section II Strategic CAD, Intel Labs Chandler AZ eli.chiprout chiprout@intel.com Section II: Modeling, noise, timing The goals of this section

More information

Chapter 4. Problems. 1 Chapter 4 Problem Set

Chapter 4. Problems. 1 Chapter 4 Problem Set 1 Chapter 4 Problem Set Chapter 4 Problems 1. [M, None, 4.x] Figure 0.1 shows a clock-distribution network. Each segment of the clock network (between the nodes) is 5 mm long, 3 µm wide, and is implemented

More information

Modeling of Coplanar Waveguide for Buffered Clock Tree

Modeling of Coplanar Waveguide for Buffered Clock Tree Modeling of Coplanar Waveguide for Buffered Clock Tree Jun Chen Lei He Electrical Engineering Department Electrical Engineering Department University of California, Los Angeles University of California,

More information

Non-Ideal Behavior of Components

Non-Ideal Behavior of Components Non-Ideal Behavior of Components Todd H. Hubing Dept. of Electrical and Computer Engineering Clemson, University Clemson, SC 29634 USA email: hubing@clemson.edu Telephone: 1-864-656-7219 Circuit Schematics

More information

Analytical Dynamic Time Delay Model of Strongly Coupled RLC Interconnect Lines Dependent on Switching

Analytical Dynamic Time Delay Model of Strongly Coupled RLC Interconnect Lines Dependent on Switching Analytical Dynamic Time Delay Model of Strongly Coupled RLC Interconnect Lines Dependent on Switching Seongkyun Shin Hanyang Univ. Ansan Kyungki-Do Korea +82-31-4-5295 ssk@giga.hanyang.ac.kr William R.

More information

CROSS-COUPLING capacitance and inductance have. Performance Optimization of Critical Nets Through Active Shielding

CROSS-COUPLING capacitance and inductance have. Performance Optimization of Critical Nets Through Active Shielding IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 51, NO. 12, DECEMBER 2004 2417 Performance Optimization of Critical Nets Through Active Shielding Himanshu Kaul, Student Member, IEEE,

More information

if the conductance is set to zero, the equation can be written as following t 2 (4)

if the conductance is set to zero, the equation can be written as following t 2 (4) 1 ECEN 720 High-Speed Links: Circuits and Systems Lab1 - Transmission Lines Objective To learn about transmission lines and time-domain reflectometer (TDR). Introduction Wires are used to transmit clocks

More information

ECE 497 JS Lecture 16 Power Distribution

ECE 497 JS Lecture 16 Power Distribution ECE 497 JS Lecture 16 Power Distribution Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Overview Motivations & Objectives Power Supply Network

More information

Quick On-Chip Self- and Mutual-Inductance Screen

Quick On-Chip Self- and Mutual-Inductance Screen Quick On-Chip Self- and Mutual-Inductance Screen Shen Lin, Norman Chang, and Sam Nakagawa Hewlett-Packard Laboratories, Palo Alto, CA 94303, USA In this paper, based on simulations of top-level interconnects

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

MDSI: Signal Integrity Interconnect Fault Modeling and Testing for SoCs

MDSI: Signal Integrity Interconnect Fault Modeling and Testing for SoCs JOURNAL OF ELECTRONIC TESTING: Theory and Applications 23, 357 362, 2007 * 2007 Springer Science + Business Media, LLC Manufactured in The United States. DOI: 10.1007/s10836-006-0630-0 MDSI: Signal Integrity

More information

ECE 497 JS Lecture - 22 Timing & Signaling

ECE 497 JS Lecture - 22 Timing & Signaling ECE 497 JS Lecture - 22 Timing & Signaling Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements - Signaling Techniques (4/27) - Signaling

More information

Figure 1. Inductance

Figure 1. Inductance Tools for On-Chip Interconnect Inductance Extraction Jerry Tallinger OEA International Inc. 155 East Main Ave., Ste. 110 Morgan Hill, CA 95037 jerry@oea.com Haris Basit OEA International Inc. 155 East

More information

Effective On-chip Inductance Modeling for Multiple Signal Lines and Application on Repeater Insertion

Effective On-chip Inductance Modeling for Multiple Signal Lines and Application on Repeater Insertion Effective On-chip Inductance Modeling for Multiple Signal Lines and Application on Repeater Insertion Yu Cao, Xuejue Huang, Norman Chang', Shen Lin', 0. Sam Nakagawa', Weize Xie', and Chenming Hu EECS

More information

Accurate Prediction of the Impact of On-chip Inductance on Interconnect Delay using Electrical and Physical Parameter-based RSF

Accurate Prediction of the Impact of On-chip Inductance on Interconnect Delay using Electrical and Physical Parameter-based RSF Accurate Prediction of the Impact of On-chip Inductance on Interconnect Delay using Electrical and Physical Parameter-based RSF Takashi Sato 1,8, Toshiki Kanamoto 2, Atsushi Kurokawa 3, Yoshiyuki Kawakami

More information

BE. Electronic and Computer Engineering Final Year Project Report

BE. Electronic and Computer Engineering Final Year Project Report BE. Electronic and Computer Engineering Final Year Project Report Title: Development of electrical models for inductive coils used in wireless power systems Paul Burke 09453806 3 rd April 2013 Supervisor:

More information

Skin Effect: A Natural Phenomenon for Minimization of Ground Bounce in VLSI RC Interconnect Shilpi Lavania

Skin Effect: A Natural Phenomenon for Minimization of Ground Bounce in VLSI RC Interconnect Shilpi Lavania Skin Effect: A Natural Phenomenon for Minimization of Ground Bounce in VLSI RC Interconnect Shilpi Lavania International Science Index, Electronics and Communication Engineering waset.org/publication/9997602

More information

The Evolution of Waveform Relaxation for Circuit and Electromagnetic Solvers

The Evolution of Waveform Relaxation for Circuit and Electromagnetic Solvers The Evolution of Waveform Relaxation for Circuit and Electromagnetic Solvers Albert Ruehli, Missouri S&T EMC Laboratory, University of Science & Technology, Rolla, MO with contributions by Giulio Antonini,

More information

Signal integrity means clean

Signal integrity means clean CHIPS & CIRCUITS As you move into the deep sub-micron realm, you need new tools and techniques that will detect and remedy signal interference. Dr. Lynne Green, HyperLynx Division, Pads Software Inc The

More information

Step Response of RC Circuits

Step Response of RC Circuits EE 233 Laboratory-1 Step Response of RC Circuits 1 Objectives Measure the internal resistance of a signal source (eg an arbitrary waveform generator) Measure the output waveform of simple RC circuits excited

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

Deep Submicron Interconnect. 0.18um vs. 013um Interconnect

Deep Submicron Interconnect. 0.18um vs. 013um Interconnect Deep Submicron Interconnect R. Dept. of ECE University of British Columbia res@ece.ubc.ca 0.18um vs. 013um Interconnect 0.18µm 5-layer Al Metal Process 0.13µm 8-layer Cu Metal Process 1 Interconnect Scaling

More information

Course Introduction. Content: 19 pages 3 questions. Learning Time: 30 minutes

Course Introduction. Content: 19 pages 3 questions. Learning Time: 30 minutes Course Introduction Purpose: This course discusses techniques that can be applied to reduce problems in embedded control systems caused by electromagnetic noise Objectives: Gain a basic knowledge about

More information

IEEE P802.3cg 10BASE-T1S MDI Parallel Inductance

IEEE P802.3cg 10BASE-T1S MDI Parallel Inductance IEEE P802.3cg 10BASE-T1S MDI Parallel Inductance Scott Griffiths, Rockwell Automation David D. Brandt, Rockwell Automation IEEE P802.3cg 10 Mb/s Single Balanced Pair Ethernet Task Force Spokane Interim,

More information

APPLICATION NOTE 735 Layout Considerations for Non-Isolated DC-DC Converters

APPLICATION NOTE 735 Layout Considerations for Non-Isolated DC-DC Converters Maxim > App Notes > AUTOMOTIVE GENERAL ENGINEERING TOPICS POWER-SUPPLY CIRCUITS PROTOTYPING AND PC BOARD LAYOUT Keywords: printed circuit board, PCB layout, parasitic inductance, parasitic capacitance,

More information

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion Fixing Antenna Problem by Dynamic Dropping and Jumper Insertion Peter H. Chen and Sunil Malkani Chun-Mou Peng James Lin TeraLogic, Inc. International Tech. Univ. National Semi. Corp. 1240 Villa Street

More information

Two-Wire Shielded Cable Modeling for the Analysis of Conducted Transient Immunity

Two-Wire Shielded Cable Modeling for the Analysis of Conducted Transient Immunity Two-Wire Shielded Cable Modeling for the Analysis of Conducted Transient Immunity Spartaco Caniggia EMC Consultant, Viale Moranti 7, 21 Bareggio (MI), Italy spartaco.caniggia@ieee.org Francesca Maradei

More information

Effects of the Internal Layout on the Performance of IGBT Power Modules

Effects of the Internal Layout on the Performance of IGBT Power Modules Effects of the Internal Layout on the Performance of IGBT Power Modules A. Consoli, F. Gennaro Dept. of Electrical, Electronic and System Engineering University of Catania Viale A. Doria, 6 I-95125 Catania

More information

Advanced Transmission Lines. Transmission Line 1

Advanced Transmission Lines. Transmission Line 1 Advanced Transmission Lines Transmission Line 1 Transmission Line 2 1. Transmission Line Theory :series resistance per unit length in. :series inductance per unit length in. :shunt conductance per unit

More information

Units. In the following formulae all lengths are expressed in centimeters. The inductance calculated will be in micro-henries = 10-6 henry.

Units. In the following formulae all lengths are expressed in centimeters. The inductance calculated will be in micro-henries = 10-6 henry. INDUCTANCE Units. In the following formulae all lengths are expressed in centimeters. The inductance calculated will be in micro-henries = 10-6 henry. Long straight round wire. If l is the length; d, the

More information

On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs

On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs Yarui Peng 1, Taigon Song 1, Dusan Petranovic 2, and Sung Kyu Lim 1 1 School of ECE, Georgia Institute of Technology,

More information

Analysis of Laddering Wave in Double Layer Serpentine Delay Line

Analysis of Laddering Wave in Double Layer Serpentine Delay Line International Journal of Applied Science and Engineering 2008. 6, 1: 47-52 Analysis of Laddering Wave in Double Layer Serpentine Delay Line Fang-Lin Chao * Chaoyang University of Technology Taichung, Taiwan

More information

EM Noise Mitigation in Electronic Circuit Boards and Enclosures

EM Noise Mitigation in Electronic Circuit Boards and Enclosures EM Noise Mitigation in Electronic Circuit Boards and Enclosures Omar M. Ramahi, Lin Li, Xin Wu, Vijaya Chebolu, Vinay Subramanian, Telesphor Kamgaing, Tom Antonsen, Ed Ott, and Steve Anlage A. James Clark

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

Todd H. Hubing Michelin Professor of Vehicular Electronics Clemson University

Todd H. Hubing Michelin Professor of Vehicular Electronics Clemson University Essential New Tools for EMC Diagnostics and Testing Todd H. Hubing Michelin Professor of Vehicular Electronics Clemson University Where is Clemson University? Clemson, South Carolina, USA Santa Clara Valley

More information

Class-D Audio Power Amplifiers: PCB Layout For Audio Quality, EMC & Thermal Success (Home Entertainment Devices)

Class-D Audio Power Amplifiers: PCB Layout For Audio Quality, EMC & Thermal Success (Home Entertainment Devices) Class-D Audio Power Amplifiers: PCB Layout For Audio Quality, EMC & Thermal Success (Home Entertainment Devices) Stephen Crump http://e2e.ti.com Audio Power Amplifier Applications Audio and Imaging Products

More information

Accurate Models for Spiral Resonators

Accurate Models for Spiral Resonators MITSUBISHI ELECTRIC RESEARCH LABORATORIES http://www.merl.com Accurate Models for Spiral Resonators Ellstein, D.; Wang, B.; Teo, K.H. TR1-89 October 1 Abstract Analytically-based circuit models for two

More information

A High-level Signal Integrity Fault Model and Test Methodology for Long On-Chip Interconnections

A High-level Signal Integrity Fault Model and Test Methodology for Long On-Chip Interconnections 2009 27th IEEE VLSI Test Symposium A High-level Signal Integrity Fault Model and Test Methodology for Long On-Chip Interconnections Sunghoon Chun 1, Yongjoon Kim 1, Taejin Kim 2 and Sungho Kang 1 1 Department

More information

Chapter 2-1 Transformers

Chapter 2-1 Transformers Principles of Electric Machines and Power Electronics Chapter 2-1 Transformers Third Edition P. C. Sen Transformer application 1: power transmission Ideal Transformer Assumptions: 1. Negligible winding

More information

PROGRAMMABLE ASIC INTERCONNECT

PROGRAMMABLE ASIC INTERCONNECT PROGRAMMABLE ASIC INTERCONNECT The structure and complexity of the interconnect is largely determined by the programming technology and the architecture of the basic logic cell The first programmable ASICs

More information

Research on Technology of Electromagnetic Protection for the Generator Control System

Research on Technology of Electromagnetic Protection for the Generator Control System Journal of Power and Energy Engineering, 04,, 7- Published Online April 04 in SciRes. http://www.scirp.org/journal/jpee http://dx.doi.org/0.436/jpee.04.400 Research on Technology of Electromagnetic Protection

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

Pulse Transmission and Cable Properties ================================

Pulse Transmission and Cable Properties ================================ PHYS 4211 Fall 2005 Last edit: October 2, 2006 T.E. Coan Pulse Transmission and Cable Properties ================================ GOAL To understand how voltage and current pulses are transmitted along

More information

TECHNICAL REPORT: CVEL Parasitic Inductance Cancellation for Filtering to Chassis Ground Using Surface Mount Capacitors

TECHNICAL REPORT: CVEL Parasitic Inductance Cancellation for Filtering to Chassis Ground Using Surface Mount Capacitors TECHNICAL REPORT: CVEL-14-059 Parasitic Inductance Cancellation for Filtering to Chassis Ground Using Surface Mount Capacitors Andrew J. McDowell and Dr. Todd H. Hubing Clemson University April 30, 2014

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

DesignCon Full Chip Signal and Power Integrity with Silicon Substrate Effect. Norio Matsui Dileep Divekar Neven Orhanovic

DesignCon Full Chip Signal and Power Integrity with Silicon Substrate Effect. Norio Matsui Dileep Divekar Neven Orhanovic DesignCon 2004 Chip-Level Physical Design Full Chip Signal and Power Integrity with Silicon Substrate Effect Norio Matsui Dileep Divekar Neven Orhanovic Applied Simulation Technology, Inc. 408-436-9070

More information

Full-chip Multilevel Routing for Power and Signal Integrity

Full-chip Multilevel Routing for Power and Signal Integrity Full-chip Multilevel Routing for Power and Signal Integrity Jinjun Xiong and Lei He Electrical Engineering Department University of California at Los Angeles, CA, USA Abstract Conventional physical design

More information

THROUGH-SILICON-VIA (TSV) is a popular choice to

THROUGH-SILICON-VIA (TSV) is a popular choice to 1900 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 12, DECEMBER 2014 Silicon Effect-Aware Full-Chip Extraction and Mitigation of TSV-to-TSV Coupling Yarui

More information

Experiment 2: Transients and Oscillations in RLC Circuits

Experiment 2: Transients and Oscillations in RLC Circuits Experiment 2: Transients and Oscillations in RLC Circuits Will Chemelewski Partner: Brian Enders TA: Nielsen See laboratory book #1 pages 5-7, data taken September 1, 2009 September 7, 2009 Abstract Transient

More information

ON-CHIP TECHNOLOGY INDEPENDENT 3-D MOD- ELS FOR MILLIMETER-WAVE TRANSMISSION LINES WITH BEND AND GAP DISCONTINUITY

ON-CHIP TECHNOLOGY INDEPENDENT 3-D MOD- ELS FOR MILLIMETER-WAVE TRANSMISSION LINES WITH BEND AND GAP DISCONTINUITY Progress In Electromagnetics Research B, Vol. 22, 171 185, 2010 ON-CHIP TECHNOLOGY INDEPENDENT 3-D MOD- ELS FOR MILLIMETER-WAVE TRANSMISSION LINES WITH BEND AND GAP DISCONTINUITY G. A. Wang, W. Woods,

More information

Modeling and Simulation of Powertrains for Electric and Hybrid Vehicles

Modeling and Simulation of Powertrains for Electric and Hybrid Vehicles Modeling and Simulation of Powertrains for Electric and Hybrid Vehicles Dr. Marco KLINGLER PSA Peugeot Citroën Vélizy-Villacoublay, FRANCE marco.klingler@mpsa.com FR-AM-5 Background The automotive context

More information

Synthesis of Optimal On-Chip Baluns

Synthesis of Optimal On-Chip Baluns Synthesis of Optimal On-Chip Baluns Sharad Kapur, David E. Long and Robert C. Frye Integrand Software, Inc. Berkeley Heights, New Jersey Yu-Chia Chen, Ming-Hsiang Cho, Huai-Wen Chang, Jun-Hong Ou and Bigchoug

More information

Timing Analysis of Discontinuous RC Interconnect Lines

Timing Analysis of Discontinuous RC Interconnect Lines 8 TAEHOON KIM et al : TIMING ANALYSIS OF DISCONTINUOUS RC INTERCONNECT LINES Timing Analysis of Discontinuous RC Interconnect Lines Taehoon Kim, Youngdoo Song, and Yungseon Eo Abstract In this paper, discontinuous

More information

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen Si-Interposer Collaboration in IC/PKG/SI Eric Chen 4/Jul/2014 Design Overview U-bump Logic IC Mem IC C4 bump Logic IC Silicon/Organic substrate Interposer Mem IC CAP Package substrate Solder Ball VRM BGA

More information

Switching (AC) Characteristics of MOS Inverters. Prof. MacDonald

Switching (AC) Characteristics of MOS Inverters. Prof. MacDonald Switching (AC) Characteristics of MOS Inverters Prof. MacDonald 1 MOS Inverters l Performance is inversely proportional to delay l Delay is time to raise (lower) voltage at nodes node voltage is changed

More information

A Simplified QFN Package Characterization Technique

A Simplified QFN Package Characterization Technique Slide -1 A Simplified QFN Package Characterization Technique Dr. Eric Bogatin and Trevor Mitchell Bogatin Enterprises Dick Otte, President, Promex 8/1/10 Slide -2 Goal of this Project Develop a simple

More information

THE PROPAGATION OF PARTIAL DISCHARGE PULSES IN A HIGH VOLTAGE CABLE

THE PROPAGATION OF PARTIAL DISCHARGE PULSES IN A HIGH VOLTAGE CABLE THE PROPAGATION OF PARTIAL DISCHARGE PULSES IN A HIGH VOLTAGE CABLE Z.Liu, B.T.Phung, T.R.Blackburn and R.E.James School of Electrical Engineering and Telecommuniications University of New South Wales

More information

An Enhanced Design Methodology for Resonant Clock. Trees

An Enhanced Design Methodology for Resonant Clock. Trees An Enhanced Design Methodology for Resonant Clock Trees Somayyeh Rahimian, Vasilis Pavlidis, Xifan Tang, and Giovanni De Micheli Abstract Clock distribution networks consume a considerable portion of the

More information

Taking the Mystery out of Signal Integrity

Taking the Mystery out of Signal Integrity Slide - 1 Jan 2002 Taking the Mystery out of Signal Integrity Dr. Eric Bogatin, CTO, GigaTest Labs Signal Integrity Engineering and Training 134 S. Wolfe Rd Sunnyvale, CA 94086 408-524-2700 www.gigatest.com

More information

Efficient PEEC-based Inductance Extraction using Circuit-Aware Techniques

Efficient PEEC-based Inductance Extraction using Circuit-Aware Techniques Efficient PEEC-based Inductance Extraction using Circuit-Aware Techniques Haitian Hu and Sachin S. Sapatnekar Department of ECE, University of innesota, inneapolis, N 55455 Abstract Practical approaches

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

3-2-1 Contact: An Experimental Approach to the Analysis of Contacts in 45 nm and Below. Rasit Onur Topaloglu, Ph.D.

3-2-1 Contact: An Experimental Approach to the Analysis of Contacts in 45 nm and Below. Rasit Onur Topaloglu, Ph.D. 3-2-1 Contact: An Experimental Approach to the Analysis of Contacts in 45 nm and Below Rasit Onur Topaloglu, Ph.D. Outline Introduction and Motivation Impact of Contact Resistance Test Structures for Contact

More information

An Equivalent Circuit Model for On-chip Inductors with Gradual Changed Structure

An Equivalent Circuit Model for On-chip Inductors with Gradual Changed Structure An Equivalent Circuit Model for On-chip Inductors with Gradual Changed Structure Xi Li 1, Zheng Ren 2, Yanling Shi 1 1 East China Normal University Shanghai 200241 People s Republic of China 2 Shanghai

More information

Chapter 11. Alternating Current

Chapter 11. Alternating Current Unit-2 ECE131 BEEE Chapter 11 Alternating Current Objectives After completing this chapter, you will be able to: Describe how an AC voltage is produced with an AC generator (alternator) Define alternation,

More information

Lab 1: Basic RL and RC DC Circuits

Lab 1: Basic RL and RC DC Circuits Name- Surname: ID: Department: Lab 1: Basic RL and RC DC Circuits Objective In this exercise, the DC steady state response of simple RL and RC circuits is examined. The transient behavior of RC circuits

More information

AN4819 Application note

AN4819 Application note Application note PCB design guidelines for the BlueNRG-1 device Introduction The BlueNRG1 is a very low power Bluetooth low energy (BLE) single-mode system-on-chip compliant with Bluetooth specification

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields

Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields James C. Rautio, James D. Merrill, and Michael J. Kobasa Sonnet Software, North Syracuse, NY, 13212, USA Abstract Patterned

More information

Design and optimization of integrated transmission lines on scaled CMOS technologies

Design and optimization of integrated transmission lines on scaled CMOS technologies Design and optimization of integrated transmission lines on scaled CMOS technologies F. Vecchi 1,2, M. Repossi 3, W. Eyssa 1,2, P. Arcioni 1, F. Svelto 1 1 Dipartimento di Elettronica, Università di Pavia,

More information

Custom Interconnects Fuzz Button with Hardhat Test Socket/Interposer 1.00 mm pitch

Custom Interconnects Fuzz Button with Hardhat Test Socket/Interposer 1.00 mm pitch Custom Interconnects Fuzz Button with Hardhat Test Socket/Interposer 1.00 mm pitch Measurement and Model Results prepared by Gert Hohenwarter 12/14/2015 1 Table of Contents TABLE OF CONTENTS...2 OBJECTIVE...

More information

High-Speed Circuit Board Signal Integrity

High-Speed Circuit Board Signal Integrity High-Speed Circuit Board Signal Integrity For a listing of recent titles in the Artech House Microwave Library, turn to the back of this book. High-Speed Circuit Board Signal Integrity Stephen C. Thierauf

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

ST8016. Datasheet. 160 Output LCD Common/ Segment Driver IC. Version /05/25. Crystalfontz

ST8016. Datasheet. 160 Output LCD Common/ Segment Driver IC. Version /05/25. Crystalfontz Crystalfontz Thiscontrolerdatasheetwasdownloadedfrom htp:/www.crystalfontz.com/controlers/ 160 Output LCD Common/ Segment Driver IC Datasheet Version 1.9 2007/05/25 Note: Sitronix Technology Corp. reserves

More information

On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs

On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs Yarui Peng 1, Taigon Song 1, Dusan Petranovic 2, and Sung Kyu Lim 1 1 School of ECE, Georgia Institute of Technology,

More information

MICROWIND2 DSCH2 8. Converters /11/00

MICROWIND2 DSCH2 8. Converters /11/00 8-9 05/11/00 Fig. 8-7. Effect of sampling The effect of sample and hold is illustrated in figure 8-7. When sampling, the transmission gate is turned on so that the sampled data DataOut reaches the value

More information

Physically-Based Distributed Models for Multi-Layer Ceramic Capacitors

Physically-Based Distributed Models for Multi-Layer Ceramic Capacitors Physically-Based Distributed Models for Multi-Layer Ceramic Capacitors Charles R Sullivan and Yuqin Sun Thayer School of Engineering Dartmouth College http://power.thayer.dartmouth.edu/ Introduction Why

More information

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014 Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application Institute of Microelectronics 22 April 2014 Challenges for HD Fan-Out Electrical Design 15-20 mm 7 mm 6 mm SI/PI with multilayer

More information

Non-ideal Behavior of Electronic Components at High Frequencies and Associated Measurement Problems

Non-ideal Behavior of Electronic Components at High Frequencies and Associated Measurement Problems Nonideal Behavior of Electronic Components at High Frequencies and Associated Measurement Problems Matthew Beckler beck0778@umn.edu EE30 Lab Section 008 October 27, 2006 Abstract In the world of electronics,

More information

Optimization of Power Dissipation and Skew Sensitivity in Clock Buffer Synthesis

Optimization of Power Dissipation and Skew Sensitivity in Clock Buffer Synthesis Optimization of Power Dissipation and Skew Sensitivity in Clock Buffer Synthesis Jae W. Chung, De-Yu Kao, Chung-Kuan Cheng, and Ting-Ting Lin Department of Computer Science and Engineering Mail Code 0114

More information

CAD of Left-handed Transmission Line Bandpass Filters

CAD of Left-handed Transmission Line Bandpass Filters PIERS ONLINE, VOL. 3, NO. 1, 27 77 CAD of Left-handed Transmission Line Bandpass Filters L. Zhu, V. K. Devabhaktuni, and C. Wang Department of ECE, Concordia University 14 de Maisonneuve West, Montreal

More information

Correlation Between Measured and Simulated Parameters of a Proposed Transfer Standard

Correlation Between Measured and Simulated Parameters of a Proposed Transfer Standard Correlation Between Measured and Simulated Parameters of a Proposed Transfer Standard Jim Nadolny AMP Incorporated ABSTRACT Total radiated power of a device can be measured using a mode stirred chamber

More information

Improving conducted EMI forecasting with accurate layout modeling

Improving conducted EMI forecasting with accurate layout modeling Improving conducted EMI forecasting with accurate layout modeling M. Lionet*, R. Prades*, X. Brunotte*,Y. Le Floch*, E. Clavel**, J.L. Schanen**, J.M. Guichon** *CEDRAT, 15 chemin de Malacher - F- 38246

More information

Demystifying Vias in High-Speed PCB Design

Demystifying Vias in High-Speed PCB Design Demystifying Vias in High-Speed PCB Design Keysight HSD Seminar Mastering SI & PI Design db(s21) E H What is Via? Vertical Interconnect Access (VIA) An electrical connection between layers to pass a signal

More information

COMPACT MICROSTRIP BANDPASS FILTERS USING TRIPLE-MODE RESONATOR

COMPACT MICROSTRIP BANDPASS FILTERS USING TRIPLE-MODE RESONATOR Progress In Electromagnetics Research Letters, Vol. 35, 89 98, 2012 COMPACT MICROSTRIP BANDPASS FILTERS USING TRIPLE-MODE RESONATOR K. C. Lee *, H. T. Su, and M. K. Haldar School of Engineering, Computing

More information

CH 1. Large coil. Small coil. red. Function generator GND CH 2. black GND

CH 1. Large coil. Small coil. red. Function generator GND CH 2. black GND Experiment 6 Electromagnetic Induction "Concepts without factual content are empty; sense data without concepts are blind... The understanding cannot see. The senses cannot think. By their union only can

More information

Radio Frequency Electronics

Radio Frequency Electronics Radio Frequency Electronics Frederick Emmons Terman Transformers Masters degree from Stanford and Ph.D. from MIT Later a professor at Stanford His students include William Hewlett and David Packard Wrote

More information

Respin by Pin-Block Design and Floorplanning for Package-Board Codesign

Respin by Pin-Block Design and Floorplanning for Package-Board Codesign Fast Flip-Chip Pin-Out esignation Respin by Pin-Block esign and Floorplanning for Package-Board Codesign Ren-Jie Lee, Ming-Fang Lai and Hung-Ming Chen epartment of Electronics Engineering and SoC Research

More information

Coil in the AC circuit

Coil in the AC circuit Coil in the AC circuit LEP Related topics Inductance, Kirchhoff s laws, parallel connection, series connection, a. c. impedance, phase displacement, vector diagram Principle The impedance and phase displacement

More information

MULTIPLE metal layers are used for interconnect in

MULTIPLE metal layers are used for interconnect in IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL 12, NO 4, APRIL 2004 437 Modeling Skin and Proximity Effects With Reduced Realizable RL Circuits Shizhong Mei and Yehea I Ismail, Member,

More information

Speed Measurement Method for Digital Control System

Speed Measurement Method for Digital Control System Preprint of the paper presented on 9 th EPE European Conference on Power Electronics and Applications, 27-29 August 2001 full paper: http://www.epe-association.org/epe/documents.php?current=40 DOI : http://dx.doi.org/10.6084/m9.figshare.730619

More information