A High-level Signal Integrity Fault Model and Test Methodology for Long On-Chip Interconnections

Size: px
Start display at page:

Download "A High-level Signal Integrity Fault Model and Test Methodology for Long On-Chip Interconnections"

Transcription

1 th IEEE VLSI Test Symposium A High-level Signal Integrity Fault Model and Test Methodology for Long On-Chip Interconnections Sunghoon Chun 1, Yongjoon Kim 1, Taejin Kim 2 and Sungho Kang 1 1 Department of Electrical and Electronic Engineering Yonsei University 134 Shinchon-dong Seodaemoon-gu, Seoul, Korea <shchun, yjkim, shkang>@yonsei.ac.kr 2 Avionics R&D Lab., LIG Nex1 Co. 100 Shinsungdong Yoosung-gu, Daejeon, Korea tj20 10@lignex1.com. Abstract In this paper, considering the interconnection topology information, an abstract model and a new test pattern generation method of signal integrity problems on interconnects are proposed. In addition, previous SPICE-based pattern generation methods are too complex and time consuming to generate test patterns for signal integrity faults. To more accurately detect signal integrity defects on practical on-chip interconnection lines and avoid time consuming for interconnection analysis, in this paper, we propose a new highlevel signal integrity fault model to estimate noise effects based on process variation and interconnect signal transition. Experimental results show that the proposed signal integrity fault model is more exact for long interconnects than previous approaches. In addition, the proposed method is much faster than the SPICEbased pattern generation method. I. INTRODUCTION Signal integrity issues arise from long on-chip interconnects where the effect of parasitic elements may jeopardize the functionality and reliability of high performance SoCs. Long on-chip interconnects fall primarily into three categories: data-buses, control, or clock. Data lines, especially those such as data buses between the central processing unit (CPU) and cache, travel in groups, generally have half-a-chip-edge in length, and have a small load at the receiver. Such lines synchronously operate and are designed for minimum path delay over fairly long length. Therefore, these data-buses are vulnerable to signal integrity problems due to their synchronous and possibly in-phase data pattern. Therefore, in this paper, we focus on testing signal integrity faults on long interconnects like data-buses. To enable testing for signal integrity defects, several fault models and test generation methods have been proposed to capture the signal integrity effects in gate-level circuits [1-3]. In addition, many approaches for analysis, modeling and testing signal integrity effects on long interconnect have been proposed [4-7]. Maximum Aggressor (MA) fault model was presented in [4], which abstractly models crosstalk effects on interconnects with a linear number of faults. Although MA significantly simplifies the problem for interconnects modeled as RC circuits, it suffers from lack of precision needed for accurate RLC interconnect models [8]. In [5], the authors presented a BIST for signal integrity using pseudorandom patterns. Signal integrity fault model was presented in [9]. This was based on accurate RLC interconnect models; however, this method requires much large computation power for solving numerical equations. Moreover, since previous works [1-9] did not consider the effect of interconnection topology, their accuracy is much more decreased. For the effect of interconnection topology, in general, the SPICE-based simulation is necessary. However, the SPICE-based simulation is prohibitively time consuming and are unsuitable for iterative evaluations of SoCs. To alleviate this problem and to generate more effective test patterns for signal integrity faults, we already proposed the high-level test pattern generation method with the consideration of the interconnection topology in [10]. In general, the proposed fault model in [10] is efficient to simply generate test patterns for signal integrity faults with a slight error. However, for high-speed VLSI circuits, highlevel signal integrity fault model presented in [10] is not sufficient to accurately detect signal integrity defects on practical long interconnections because it cannot consider physical phenomenon of practical on-chip interconnection lines. To more accurately detect signal integrity defects on practical on-chip interconnection lines and avoid time consuming for interconnection analysis, in this paper, we propose a new high-level signal integrity fault model to estimate noise effects based on process variation and interconnect signal transition. Especially, for signal integrityinduced delay errors, the proposed high-level fault models are based on TWA (traveling wave based waveform approximation) technique for multi-coupled interconnects. In addition, the proposed test pattern generation for a novel high-level fault model for signal integrity faults is proposed considering the interconnection topology in the similar manner of [10]. The rest of the paper is organized as follows. Section 2 explains the signal integrity effects on long on-chip interconnection lines and two main errors caused by signal integrity noises. In Section 3, new high-level fault models for signal integrity-induced errors are proposed and Section 4 provides the proposed test pattern generation algorithm. Experimental results are presented in Section 5 and this paper is concluded in Section 6. II. SIGNAL INTEGRITY EFFECTS ON LONG INTERCONNECTS According to [11], the short local wiring with the highest /09 $ IEEE DOI /VTS

2 density and R>500Ω/cm are found useful for lengths less 3mm. As the scaling to smaller dimensions continues, the short wires will have resistance several times this value, but their behavior will stay the same. Their capacitance and capacitive coupling to adjacent neighbors are the limiting factors due to delay and delay variation. The medium-length lines with 100<R<500Ω/cm can be used for lengths up to 10mm if driven by low-impedance drivers and have wide line-to-line separations that result in low crosstalk. This is especially important as noise budgets are shrinking. Smaller devices use lower V dd levels that could generate lower noise. However, signal transitions are faster, thus resulting in higher noise budgets are shrinking. In addition, processing tolerances for both the devices and the interconnect layers and larger V dd variation result in overall noise budget reduction. Noise sources also have a higher probability of overlapping within the shorter cycle-time windows. Based on analysis of [11], the main noise capacitance is not excessive. The additional noise is generated by the mutual inductance term. The longer lines, such as data-buses, control and clock lines, with R<100Ω/cm, can propagate fast signals for lengths greater than 100mm. The circuit representation which accounts for both capacitance and inductive coupling is essential for correctly estimating the crosstalk noise. Since we develop a more accurate and simpler fault model for crosstalk for long interconnects in a system-on-chip, both capacitance and inductance are considered. In a multiple wire system, the signal transitions on neighboring wires generate crosstalk-induced noise through the coupling capacitances and the mutual inductances between wires. In this section, I define the affecting wires as aggressors and the affected wires as victims. In general, crosstalk-induced noise is broadly classified into two types. Glitch noise [12] occurs when a victim line is intended to be at a stable state and results in an unwanted noise pulse on the net. Delay noise [13] occurs when noise is injected on a net when it transitions, and results in a change in the delay of the net. III. HIGH-LEVEL SIGNAL INTEGRITY FAULT MODELS A. High-Level Fault Model for Delay Noise Errors For high-speed long interconnection systems, the time domain response is roughly approximated by two or three poles. However, since the on-chip interconnection signal changes abruptly during the transition due to the inductance and the reflection, the time domain response cannot be accurately modeled with three or more poles. Therefore, the voltage signal is better physically represented using traveling wave concepts. To accurately model the voltage signal using physical phenomena, in the TWA-technique [14], the low frequency transient signal is represented with three-dominant poles and the high frequency characteristics of the transient signal are incorporated into an approximation function by exploiting the traveling wave characteristics and a modified RC-response approximation in the time domain. When the load is open circuit, the response wave becomes at double the incident wave. But, there are many frequency components from dc to very high frequency in a pulsed signal. In addition, since the load has a capacitive reactance and this impedance depends on the frequency, the reflection coefficient is inherently frequency-dependent. Since the sharp edge of the time domain voltage response which is the summation of the incident and reflected waves is blunt a bit, therefore, the response wave can be depicted by the combination of a linear ramp shape and a RC-like behavior wave shape. In this case, the first incident wave arrives at the load with the time of the flight (t f1 ) of a wave that is as follows. where L line is the inductance of line and C line is the capacitance of the line. In this case, including the load capacitance C L, an effective time of flight (t f0 ) is approximated as follows. (2) Thus, the effective response time delay (δ) of the incident wave due to the capacitive load is defined as follows. (3) According to [14], in the time interval between (2n-1)t f0 -δ and (2n-1)t f0 +δ, where n= 1,2,3,, the waveform can be approximated with a linear function. In contrast, in the time interval between (2n-1)t f0 +δ and (2n+1)t f0 +δ, the waveform can be modeled with an RC-response-like function. The time constant can be reasonably modeled as follows [14]. (4) In [14], the output voltage function is approximated for three coupled lines. This technique can extend to the output waveform modeling for multi-coupled lines [15]. The traveling waves of n-coupled on-chip interconnection lines can be decoupled into the linear combination of the n- eigenmodes by using a modal analysis technique in the frequency domain if the lines are identical [16-17]. Using these decoupling information and the TWA approximation, the output voltage waveform can be approximated easily [15]. Although the TWA-based output voltage function is very accurate, for the high-level signal integrity fault modeling, it is not necessary to calculate entire output voltage values of the victim line because it is very time consuming. Therefore, we propose a new high-level signal integrity fault model using the TWA-based waveform function [14, 15]. For slowdown and speedup fault models, the time delay is estimated when the output voltage of the victim line reaches 50% of the transition voltage. Timing delay of the output signal depends heavily on the changes of the total line inductance and the total line capacitance, which are related to the signal patterns. Since a linear combination of n eigenmodes of the system depends on the signal patterns, the signal voltage and the time delay for each signal pattern is (1)

3 different. In this case, the time delay for each signal pattern mode is defined to td(k), where k indicates the kth signal pattern mode. (7) (8) Figure 1. Modeling for a signal integrity-induced delay noise Comparing the SPICE simulation and the TWA-based waveform approximation and analyzing their results, as shown in Fig. 1, we can find out that the 50% time delay occurs in the time interval between (2n-1)t f0 -δ and (2n-1)t f0 +δ (the period of the linear function). A signal voltage function is modeled with the consideration of all the frequency components from dc to high frequency. In this case, since the reflection coefficient of low frequency components is close to one, most of the low frequency components may be reflected at the capacitive load. Therefore, the effects of the low frequency components will make signal transition fast because the low frequency components contain the major part of the signal energy and the reflections are appeared in the time interval between (2n-1)t f0 -δ and (2n-1)t f0 +δ. In this abrupt transient time, the signal voltage can be modeled with the linear function and then the signal voltage will reach 0.5 V dd in this time interval. Therefore, we can claim that the 50% time delay occurs in the following condition. (5) In this condition, to accurately the 50% time delay value, it is necessary to calculate the linear output voltage function in [14]. The 50% delay of the victim line can be determined by letting the linear output voltage function be 0.5 V dd. That is like the equation (6). However, it is not necessary to calculate the equation (6) in order to determine whether a crosstalk-induced delay fault exists in the victim line or not. Since the time interval of the 50% time delay condition in the linear function is very short and the value of the voltage output function changes abruptly, the 50% time delay can be expected through calculating just the values of t f1 and t f0 +δ(k). Note that the values of t f1 and t f0 +δ(k) can be calculated by using the equation (1), (2) and (3). Note that the total inductance and the total capacitance of the victim line in the equations (1), (2) and (3) are as follows. where A j is the polarity of the coupling parameters. In addition, though the analysis of the SPICE output response and the TWA-based waveform in the linear output voltage function, we can find out that most 50% time delay occurs during the following time interval. (9) In this condition, the 50% time delay can be approximated to α(t f0 +δ(k)), where 0.7 α 0.9, since the criterion of the crosstalk-induced delay is just required to determine whether crosstalk-induced error can occur in the victim line or not. Therefore, for slowdown delay, if the approximated 50% time delay is equal to or larger than the threshold delay value td th, a slowdown error will occur. From the approximated 50% time delay, td 50% (k), we can identify whether the slowdown error occurs in the victim line or not. The criterion of the slowdown delay fault, DFR sd is as follows. (10) where α is an user defined value based on the manufacturing process. In this paper, we use α=0.9 for experiments. Based on the monotone property, the larger the delay factor ratio is, the larger a signal integrity noise will be generated. Therefore, we can determine whether the noise is large enough to cause an error from the delay factor ratio without the SPICE simulation. Similarly, speedup signal integrity error effects can be estimated and generated. B. High-Level Fault Model for Glitch Noise Errors For multiple interconnections, the signal integrity noises caused by the electric and magnetic fields depend on the signal patterns. Therefore, we should consider the signal directions to derive a high-level signal integrity noise model. Figure 2. An example of two coupled interconnections First, let s consider an odd mode signal propagation for (6)

4 two interconnects. The odd mode propagation occurs when two coupled transmission lines are driven with equal magnitude and 180 out of phase with one another. In odd mode propagation, the currents on the lines, I 1 and I 2, always are driven with equal magnitude but in opposite directions (Fig.2). Assume that the self-inductances and selfcapacitances are different values according to the length of interconnections and the mutual inductances and coupling capacitances are extracted through the parasitic extraction tools. Applying Kirchhoff s voltage law produces (11) (12) Since the signals for odd mode switching are always opposite, it is necessary to substitute I 1 =-I 2 and V 1 =-V 2 into the equations (11) and (12). Therefore, the equivalent inductance in a pair of coupled transaction lines propagating in odd mode is (13) Similarly, the effect of the coupling capacitance can be derived by applying the Kirchhoff s current law. The equivalent capacitance in a pair of coupled interconnection propagating in odd mode is (14) Subsequently, the equivalent impedance for a coupled pair of interconnection propagating in an odd mode pattern is (15) Next, we consider an even mode signal propagation for two interconnects. The even mode propagation occurs when two coupled interconnections are driven with equal magnitude and are in phase with one another. The even mode transmission characteristics for a coupled two line system are derived in the similar manner for the odd mode. (16) This can be expanded to determine the effective impedance and variations induced by the signal integrity of multiple interconnection systems. For n interconnections, the effective impedance factor is as follows. (17) In this case, including resistance in the analysis of the interconnect line adds significant complexity to the problem and the resulting equations and fault models fail to provide much physical insight. For simplicity, a low-loss approximation [18] is used to include the effect of line resistance in the above equation (17). In a low-loss approximation (R < 2Z i,eff ), since a voltage step traveling along a interconnect line of characteristic impedance is attenuated by a factor of [18], the characteristic impedance factor value for lossless line, Z i,eff(lossless) is attenuated by the same factor. Based on this theory, using the following equations, the characteristic impedance factor of lossless lines can be computed. (18) For positive glitch error, if the reciprocal of the effective impedance factor value is equal to or larger than the reciprocal of the threshold impedance value ZF th, a positive glitch error will occur. Therefore, from the impedance factor ratio ZFR pg, we can identify whether the positive glitch error occurs in the victim line or not. The ZFR pg is as follows. C. High-Level Signal Integrity Fault Models (19) Using above criterions of crosstalk-induced errors, we can determine whether the noise is large enough to cause an error without the SPICE simulation. Similarly, other signal integrity-induced error effects can be estimated and generated. Table 1 shows the proposed high-level signal integrity fault models obtained from the criterion of each signal integrity error. Note that the impedance factor ratio is in inverse proportion to the signal integrity-induced voltage. Signal Integrity Fault Table 1. High-level signal integrity fault models Pattern of Victim Line Positive Glitch Stable 0 Negative Glitch Stable 1 High-Level Fault Model Rising Slowdown Rising Falling Slowdown Falling Rising Speedup Rising Falling Speedup Falling ZFR: the impedance factor ratio, DFR: the delay factor ratio IV. TEST PATTERN GENERATION FOR HIGH-LEVEL SIGNAL INTEGRITY FAULTS The proposed test pattern generation, called High-SI (High-level Signal Integrity fault test pattern generation) is shown in Fig. 3. For a given interconnect system, an RLC network is generated by using parasitic extraction tool with process technology libraries and the modal decoupling [16-17]. Next,

5 a victim line is selected from the list of possible victims and then the interconnection topology graph for the selected victim line is generated. In [10], we already proposed the interconnection topology graph to consider the impact of the length of the aggressor coupled to the victim line. Using the topology graph with the effective length and the distance, the effective test patterns for crosstalk faults can be generated with the consideration of the impact of the interconnect topology. After generating the topology graph, the limited factor for the selected victim line is determined by calculating the impedance factor ratio and the delay factor ratio with the topology graph. Using this information, the desired test vector pair for the proposed fault model can be produced. Until the list of possible victim lines is empty, the above test pattern generation procedures are continued. The generated test patterns for our proposed fault model consists of a single victim, the limited number of aggressors whose dominant aggressor source is the coupling capacitance and other aggressors whose mutual inductances affect crosstalkinduced errors on the victim line more dominantly. Figure 3. Overall algorithm of the proposed test pattern generation V. EXPERIMENTAL RESULTS The proposed high-si test generation method is validated by the use of HSPICE simulations [19]. For first experiment, a symmetric 8-bit interconnection line is used to compare the test pattern generated by the high-mx test generation, the MA test pattern [4] and the MT test pattern [7]. The on-chip interconnection line parameters for the first experiment are as follows: the resistance of Ω/cm, the self-inductance of 7.214nH/cm and the self-capacitance is 2.432pF/cm. Since the mutual inductances and the coupling capacitances depend on the distance between the coupled lines, all values are not explained in this section. For example, the coupling capacitance and the mutual inductance between the aggressor line 1 and the victim line are pf/cm and nh/cm, respectively. In addition, all the source resistances are assumed to be 50Ω and all the load capacitances are assumed to be 0.1pF. Assuming that the victim line is the line 4 in the 8-bit interconnection line, the HSPICE simulation result of each test pattern for the rising slowdown delay is shown in Fig. 4. As shown in Fig. 4, the proposed high-si test generation method can generate more highly effective test patterns than previous works. The MA fault model [4] tended to underestimate noise errors due to the RC interconnect model. When mutual inductance comes into play, the MA fault model [7] may not have reflected the worst case and caused other ways to generate test patterns to create maximal integrity loss. In addition, although the MT fault model can lead to generate more effective test patterns than the MA fault model, many test patterns for a single MT fault model are required because the test patterns for aggressor lines excluding the limited lines are generated randomly. The waveform for the MT test pattern in Fig. 4 shows the worst case of the randomly generated MT test patterns. Therefore, as shown in the result of the HSPICE simulation, the proposed high-si fault model can lead to generate more effective test patterns which cause more crosstalk-induced noises. For the second experiment, we use 8-bit interconnection that has randomly generated topology in a 0.18µm process, and assume that the line 4 is a victim line. Note that the tool FASTHENRY was used for extracting inductance values [20] and the interconnect parameters are as follows: the resistance of 0.1Ω/µm, the self-inductance of 0.6pH/µm and the mutual Figure 4. Slowdown delays of the proposed method and previous works

6 inductance of 0.4pH/µm are considered. In addition, the capacitance is 0.185fF/µm and the coupling capacitance is 0.064fF/µm. Interconnection length of 1000µm is considered. Using 8, 16 and 32 interconnection systems with randomly generated interconnection topologies, running for 3mm in parallel on metal layer 4, and in a 0.18 µm process, we demonstrated the efficiency of the high-si test generation with the interconnection topology graph. In addition, we perform experiments for AHB and APB bus in our ARMbased Network Processor. Table 2 shows the defect coverage percentages for the MA test patterns [4], MT test patterns [7] and test patterns generated by the high-si method with the interconnection topology. As shown in Table 2, among the three test vector sets, the high-si test generation method with the interconnection topology is the most efficient for all the interconnect systems. In addition, the high-si method significantly reduces time to generate test patterns for signal integrity faults than the SPICE-based pattern generation method. TABLE 2. COMPARISON OF VARIOUS INTERCONNECT SYSTEMS BUS MA [4] MT [7] High-SI 8bit (1) 81% 86% 96% 8bit (2) 84% 91% 98% 8bit (3) 77% 84% 93% 16bit (1) 72% 77% 90% 16bit (2) 75% 75% 87% 16bit (3) 81% 83% 86% 32bit (1) 64% 80% 91% 32bit (2) 72% 83% 92% 32bit (3) 68% 75% 90% AHB 54% 68% 87% APB 58% 65% 91% VI. CONCLUSION To more accurately detect signal integrity defects on practical on-chip interconnection lines and avoid time consuming for interconnection analysis, in this paper, we propose a new high-level signal integrity fault model to estimate noise effects based on process variation and interconnect signal transition. Especially, for signal integrityinduced delay errors, the proposed high-level fault models are based on TWA (traveling wave based waveform approximation) technique for multi-coupled interconnects. The proposed high-si test pattern generation is based on the analysis of the impact of aggressor lines on signal integrity effect at a victim line. We then presented a methodology to deal with arbitrary interconnect topologies. Using the interconnection topology graph and two factors, the DFR and the ZFR, our methodology generates test vector pairs to maximize the impact of aggressor lines. Experimental results showed that the proposed high-si test patterns are more exact than the MA and the MT patterns and the defect coverage is much higher since the MA fault model leads to underestimation of signal integrity effects due to the RC network and the MT patterns are randomly generated. Currently, there is ongoing research to develop a BIST methodology to efficiently apply test vectors for the high-si fault model. REFERENCES [1] A. Rubio, N. Itazaki, X. Xu and K. Kinoshita, An Approach to the Analysis and Detection of Crosstalk Faults in Digital VLSI Circuits, IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, Vol. 13, pp , [2] W. Chen, S. K. Gupta and M. A. Breuer, Analytic Models for Crosstalk Delay and Pulse Analysis Under Non-Ideal Input, Proceedings of International Test Conference, pp , [3] K. T. Lee, C, Nordquist, J. A. Abraham, Test Generation for Crosstalk Effects in VLSI Circuits, Proceedings of IEEE VLSI Test Symposium, pp , [4] M. Cuviello, S. Dey, X. Bai and Y. Zhao, Fault Modeling and Simulation for Crosstalk in System-on-Chip Interconnects, Proceedings of International Conference on Computer Aided Design, pp , [5] M. Nourani and A. Attarha, Built-In Self Test for Signal Integrity, Proceedings of Design Automation Conference, pp , [6] W. Sirisaengtaksin and S. K. Gupta, Enhanced Crosstalk Fault Model and Methodology to Generate Tests for Arbitrary Inter-core Interconnect Topology, Proceedings of Asian Test Symposium, pp , [7] M. H. Tehranipour, N. Ahmed, M. Nourani, Testing SoC Interconnects for Signal Integrity using Extended JTAG Architecture, IEEE Transactions on Computer Aided Design for Integrated Circuits and Systems, vol. 23, pp , [8] C. Cheng, J. Lillis, S. Lin, and N. Chang, Interconnect Analysis and Synthesis, John Wiley & Sons, [9] A. Attarha and M. Nourani, Test Pattern Generation for Signal Integrity Faults on Long Interconnects, Proceedings of IEEE VLSI Test Symposium, pp [10] S. Chun, Y. Kim and S. Kang, High-MDSI: A High-level Signal Integrity Fault Test Pattern Generation Method for Interconnects, Proceedings of IEEE Asian Test Symposium, pp , [11] C. Cheng, J. Lillis, S. Lin and N. Chang, Interconnect Analysis and Synthesis, John Wiley & Sons, [12] K. L. Shepard, Design Methodologies for Noise in Digital Integrated Circuits, Proceedings of Design Automation Conference, pp , [13] S. Sirichotiyakul, D. Blaauw, C. Oh, R. Levy, V. Zolotov and J. Zuo, Driver Modeling Alignment for Worst Case Delay Noise, Proceedings of Design Automation Conference, pp , [14] Y. Eo, S. Shin, W. R. Eisenstadt and J. Shim, Generalized Traveling Wave-Based Waveform Approximation Technique for the Efficient Signal Integrity Verification of Multicoupled Transmission Line System, IEEE Transactions on Computer Aided Design, vol. 21, pp , [15] S. Shin, Y. Eo, W. R. Eisenstadt and J. Shim, Analytical Models and Algorithms for the Efficient Signal Integrity Verification of Inductance-Effect-Prominent Multicoupled VLSI Circuit Interconnects, IEEE Transactions on Very Large Scale Integration Systems, vol. 12, pp , [16] C. R. Paul, Analysis of Multiconductor Transmission Line, New York: Oxford Univ. Press, [17] G. Miano and A. Maffucci, Transmission Lines and Lumped Circuits, San Diego, CA, Academic, [18] H. B. Bakoglu, Circuits, Interconnects and Packaging for VLSI, Reading, MA, Addison-Wesley, [19] HSPICE, v sp1, Synopsys Corporation

MDSI: Signal Integrity Interconnect Fault Modeling and Testing for SoCs

MDSI: Signal Integrity Interconnect Fault Modeling and Testing for SoCs JOURNAL OF ELECTRONIC TESTING: Theory and Applications 23, 357 362, 2007 * 2007 Springer Science + Business Media, LLC Manufactured in The United States. DOI: 10.1007/s10836-006-0630-0 MDSI: Signal Integrity

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

Worst Case RLC Noise with Timing Window Constraints

Worst Case RLC Noise with Timing Window Constraints Worst Case RLC Noise with Timing Window Constraints Jun Chen Electrical Engineering Department University of California, Los Angeles jchen@ee.ucla.edu Lei He Electrical Engineering Department University

More information

Analytical Dynamic Time Delay Model of Strongly Coupled RLC Interconnect Lines Dependent on Switching

Analytical Dynamic Time Delay Model of Strongly Coupled RLC Interconnect Lines Dependent on Switching Analytical Dynamic Time Delay Model of Strongly Coupled RLC Interconnect Lines Dependent on Switching Seongkyun Shin Hanyang Univ. Ansan Kyungki-Do Korea +82-31-4-5295 ssk@giga.hanyang.ac.kr William R.

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

Multiple Transition Model and Enhanced Boundary Scan Architecture to Test Interconnects for Signal Integrity

Multiple Transition Model and Enhanced Boundary Scan Architecture to Test Interconnects for Signal Integrity Multiple Model and Enhanced Boundary Scan Architecture to Test Interconnects for Signal Integrity M. H. Tehranipour, N. Ahmed, M. Nourani Center for Integrated Circuits & Systems The University of Texas

More information

CROSS-COUPLING capacitance and inductance have. Performance Optimization of Critical Nets Through Active Shielding

CROSS-COUPLING capacitance and inductance have. Performance Optimization of Critical Nets Through Active Shielding IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 51, NO. 12, DECEMBER 2004 2417 Performance Optimization of Critical Nets Through Active Shielding Himanshu Kaul, Student Member, IEEE,

More information

An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation

An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation Also presented at the January 31, 2005 IBIS Summit SIGRITY, INC. Sam Chitwood Raymond Y. Chen Jiayuan Fang March 2005

More information

Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis

Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis Masanori Hashimoto Dept. Communications & Computer Engineering Kyoto University hasimoto@i.kyoto-u.ac.jp Yuji Yamada Dept. Communications

More information

Static Timing Analysis Taking Crosstalk into Account 1

Static Timing Analysis Taking Crosstalk into Account 1 Static Timing Analysis Taking Crosstalk into Account 1 Matthias Ringe IBM Deutschland Entwicklung GmbH, Schönaicher Str. 220 71032 Böblingen; Germany ringe@de.ibm.com Thomas Lindenkreuz Robert Bosch GmbH,

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

43.2. Figure 1. Interconnect analysis using linear simulation and superposition

43.2. Figure 1. Interconnect analysis using linear simulation and superposition 43.2 Driver Modeling and Alignment for Worst-Case Delay Noise Supamas Sirichotiyakul, David Blaauw, Chanhee Oh, Rafi Levy*, Vladimir Zolotov, Jingyan Zuo Motorola Inc. Austin, TX, *Motorola Semiconductor

More information

Test Pattern Generation for Signal Integrity Faults on Long Interconnects

Test Pattern Generation for Signal Integrity Faults on Long Interconnects Test Pattern Generation for Signal Integrity Faults on Long Interconnects Amir Attarha Advanced DSP Development LSI Logic, Corporation Plano, TX 75074 aattarha@lsil.com Mehrdad Nourani Center for Integrated

More information

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Mikhail Popovich and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester, Rochester,

More information

(2) v max = (3) III. SCENARIOS OF PROCESS ADVANCE AND SIMULATION SETUP

(2) v max = (3) III. SCENARIOS OF PROCESS ADVANCE AND SIMULATION SETUP Quantitative Prediction of On-chip Capacitive and Inductive Crosstalk Noise and Discussion on Wire Cross-Sectional Area Toward Inductive Crosstalk Free Interconnects Yasuhiro Ogasahara, Masanori Hashimoto,

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

A Bottom-Up Approach to on-chip Signal Integrity

A Bottom-Up Approach to on-chip Signal Integrity A Bottom-Up Approach to on-chip Signal Integrity Andrea Acquaviva, and Alessandro Bogliolo Information Science and Technology Institute (STI) University of Urbino 6029 Urbino, Italy acquaviva@sti.uniurb.it

More information

Internal Model of X2Y Chip Technology

Internal Model of X2Y Chip Technology Internal Model of X2Y Chip Technology Summary At high frequencies, traditional discrete components are significantly limited in performance by their parasitics, which are inherent in the design. For example,

More information

Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits

Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 8, NO. 2, APRIL 2000 195 Effects of Inductance on the Propagation Delay Repeater Insertion in VLSI Circuits Yehea I. Ismail Eby G.

More information

Chapter 4. Problems. 1 Chapter 4 Problem Set

Chapter 4. Problems. 1 Chapter 4 Problem Set 1 Chapter 4 Problem Set Chapter 4 Problems 1. [M, None, 4.x] Figure 0.1 shows a clock-distribution network. Each segment of the clock network (between the nodes) is 5 mm long, 3 µm wide, and is implemented

More information

Managing Cross-talk Noise

Managing Cross-talk Noise Managing Cross-talk Noise Rajendran Panda Motorola Inc., Austin, TX Advanced Tools Organization Central in-house CAD tool development and support organization catering to the needs of all design teams

More information

Digital Systems Power, Speed and Packages II CMPE 650

Digital Systems Power, Speed and Packages II CMPE 650 Speed VLSI focuses on propagation delay, in contrast to digital systems design which focuses on switching time: A B A B rise time propagation delay Faster switching times introduce problems independent

More information

Test-Wrapper Designs for the Detection of Signal-Integrity Faults on Core-External Interconnects of SoCs

Test-Wrapper Designs for the Detection of Signal-Integrity Faults on Core-External Interconnects of SoCs Test-Wrapper Designs for the Detection of Signal-Integrity Faults on Core-External Interconnects of SoCs Qiang Xu and Yubin Zhang Computer Science and Engineering The Chinese University of Hong Kong Shatin,

More information

IEICE TRANS. FUNDAMENTALS, VOL.E86 A, NO.12 DECEMBER

IEICE TRANS. FUNDAMENTALS, VOL.E86 A, NO.12 DECEMBER IEICE TRANS. FUNDAMENTALS, VOL.E86 A, NO.12 DECEMBER 2003 2965 PAPER Special Section on VLSI Design and CAD Algorithms Crosstalk Noise Estimation for Generic RC Trees Masanori HASHIMOTO a), Regular Member,

More information

An Efficient Model for Frequency-Dependent On-Chip Inductance

An Efficient Model for Frequency-Dependent On-Chip Inductance An Efficient Model for Frequency-Dependent On-Chip Inductance Min Xu ECE Department University of Wisconsin-Madison Madison, WI 53706 mxu@cae.wisc.edu Lei He ECE Department University of Wisconsin-Madison

More information

Inductance 101: Analysis and Design Issues

Inductance 101: Analysis and Design Issues Inductance 101: Analysis and Design Issues Kaushik Gala, David Blaauw, Junfeng Wang, Vladimir Zolotov, Min Zhao Motorola Inc., Austin TX 78729 kaushik.gala@motorola.com Abstract With operating frequencies

More information

Maximizing Throughput Over Parallel Wire Structures in the Deep Submicrometer Regime

Maximizing Throughput Over Parallel Wire Structures in the Deep Submicrometer Regime 224 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 11, NO. 2, APRIL 2003 Maximizing Throughput Over Parallel Wire Structures in the Deep Submicrometer Regime Dinesh Pamunuwa, Li-Rong

More information

Skin Effect: A Natural Phenomenon for Minimization of Ground Bounce in VLSI RC Interconnect Shilpi Lavania

Skin Effect: A Natural Phenomenon for Minimization of Ground Bounce in VLSI RC Interconnect Shilpi Lavania Skin Effect: A Natural Phenomenon for Minimization of Ground Bounce in VLSI RC Interconnect Shilpi Lavania International Science Index, Electronics and Communication Engineering waset.org/publication/9997602

More information

Fast Placement Optimization of Power Supply Pads

Fast Placement Optimization of Power Supply Pads Fast Placement Optimization of Power Supply Pads Yu Zhong Martin D. F. Wong Dept. of Electrical and Computer Engineering Dept. of Electrical and Computer Engineering Univ. of Illinois at Urbana-Champaign

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

On-Chip Inductance Modeling

On-Chip Inductance Modeling On-Chip Inductance Modeling David Blaauw Kaushik Gala ladimir Zolotov Rajendran Panda Junfeng Wang Motorola Inc., Austin TX 78729 ABSTRACT With operating frequencies approaching the gigahertz range, inductance

More information

Chapter 10: Compensation of Power Transmission Systems

Chapter 10: Compensation of Power Transmission Systems Chapter 10: Compensation of Power Transmission Systems Introduction The two major problems that the modern power systems are facing are voltage and angle stabilities. There are various approaches to overcome

More information

Impact of etch factor on characteristic impedance, crosstalk and board density

Impact of etch factor on characteristic impedance, crosstalk and board density IMAPS 2012 - San Diego, California, USA, 45th International Symposium on Microelectronics Impact of etch factor on characteristic impedance, crosstalk and board density Abdelghani Renbi, Arash Risseh,

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

Optimization of Power Dissipation and Skew Sensitivity in Clock Buffer Synthesis

Optimization of Power Dissipation and Skew Sensitivity in Clock Buffer Synthesis Optimization of Power Dissipation and Skew Sensitivity in Clock Buffer Synthesis Jae W. Chung, De-Yu Kao, Chung-Kuan Cheng, and Ting-Ting Lin Department of Computer Science and Engineering Mail Code 0114

More information

Timing Analysis of Discontinuous RC Interconnect Lines

Timing Analysis of Discontinuous RC Interconnect Lines 8 TAEHOON KIM et al : TIMING ANALYSIS OF DISCONTINUOUS RC INTERCONNECT LINES Timing Analysis of Discontinuous RC Interconnect Lines Taehoon Kim, Youngdoo Song, and Yungseon Eo Abstract In this paper, discontinuous

More information

Driver Modeling and Alignment for Worst-Case Delay Noise

Driver Modeling and Alignment for Worst-Case Delay Noise IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 11, NO. 2, APRIL 2003 157 Driver Modeling and Alignment for Worst-Case Delay Noise David Blaauw, Member, IEEE, Supamas Sirichotiyakul,

More information

Analysis of Laddering Wave in Double Layer Serpentine Delay Line

Analysis of Laddering Wave in Double Layer Serpentine Delay Line International Journal of Applied Science and Engineering 2008. 6, 1: 47-52 Analysis of Laddering Wave in Double Layer Serpentine Delay Line Fang-Lin Chao * Chaoyang University of Technology Taichung, Taiwan

More information

Chapter -3 ANALYSIS OF HVDC SYSTEM MODEL. Basically the HVDC transmission consists in the basic case of two

Chapter -3 ANALYSIS OF HVDC SYSTEM MODEL. Basically the HVDC transmission consists in the basic case of two Chapter -3 ANALYSIS OF HVDC SYSTEM MODEL Basically the HVDC transmission consists in the basic case of two convertor stations which are connected to each other by a transmission link consisting of an overhead

More information

Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths

Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths Junxia Ma, Jeremy Lee and Mohammad Tehranipoor ECE Department, University of Connecticut, CT, 06269 {junxia, jslee,

More information

Measurement of Laddering Wave in Lossy Serpentine Delay Line

Measurement of Laddering Wave in Lossy Serpentine Delay Line International Journal of Applied Science and Engineering 2006.4, 3: 291-295 Measurement of Laddering Wave in Lossy Serpentine Delay Line Fang-Lin Chao * Department of industrial Design, Chaoyang University

More information

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Srinivasa R. Sridhara, Arshad Ahmed, and Naresh R. Shanbhag Coordinated Science Laboratory/ECE Department University of Illinois at

More information

IT has been extensively pointed out that with shrinking

IT has been extensively pointed out that with shrinking IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 5, MAY 1999 557 A Modeling Technique for CMOS Gates Alexander Chatzigeorgiou, Student Member, IEEE, Spiridon

More information

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB 3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB Tae Hong Kim, Hyungsoo Kim, Jun So Pak, and Joungho Kim Terahertz

More information

Appendix. RF Transient Simulator. Page 1

Appendix. RF Transient Simulator. Page 1 Appendix RF Transient Simulator Page 1 RF Transient/Convolution Simulation This simulator can be used to solve problems associated with circuit simulation, when the signal and waveforms involved are modulated

More information

DDR4 memory interface: Solving PCB design challenges

DDR4 memory interface: Solving PCB design challenges DDR4 memory interface: Solving PCB design challenges Chang Fei Yee - July 23, 2014 Introduction DDR SDRAM technology has reached its 4th generation. The DDR4 SDRAM interface achieves a maximum data rate

More information

ASPDAC Tutorial: Power, Timing & Signal Integrity in SoC designs Section II

ASPDAC Tutorial: Power, Timing & Signal Integrity in SoC designs Section II ASPDAC Tutorial: Power, Timing & Signal Integrity in SoC designs Section II Strategic CAD, Intel Labs Chandler AZ eli.chiprout chiprout@intel.com Section II: Modeling, noise, timing The goals of this section

More information

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR Janusz A. Starzyk and Ying-Wei Jan Electrical Engineering and Computer Science, Ohio University, Athens Ohio, 45701 A designated contact person Prof.

More information

THROUGH-SILICON-VIA (TSV) is a popular choice to

THROUGH-SILICON-VIA (TSV) is a popular choice to 1900 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 12, DECEMBER 2014 Silicon Effect-Aware Full-Chip Extraction and Mitigation of TSV-to-TSV Coupling Yarui

More information

Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling

Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 10, OCTOBER 2001 1587 Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling Takashi Sato, Member, IEEE, Dennis

More information

Crosstalk noise generated by parasitic inductances in System-on-Chip VLSI interconnects

Crosstalk noise generated by parasitic inductances in System-on-Chip VLSI interconnects HAIT Journal of Science and Engineering B, Volume x, Issue x, pp. xxx-xxx Copyright C 2007 Holon Institute of Technology Crosstalk noise generated by parasitic inductances in System-on-Chip VLSI interconnects

More information

Glitch Power Reduction for Low Power IC Design

Glitch Power Reduction for Low Power IC Design This document is an author-formatted work. The definitive version for citation appears as: N. Weng, J. S. Yuan, R. F. DeMara, D. Ferguson, and M. Hagedorn, Glitch Power Reduction for Low Power IC Design,

More information

Signal Integrity Management in an SoC Physical Design Flow

Signal Integrity Management in an SoC Physical Design Flow Signal Integrity Management in an SoC Physical Design Flow Murat Becer Ravi Vaidyanathan Chanhee Oh Rajendran Panda Motorola, Inc., Austin, TX Presenter: Rajendran Panda Talk Outline Functional and Delay

More information

Step Response of RC Circuits

Step Response of RC Circuits EE 233 Laboratory-1 Step Response of RC Circuits 1 Objectives Measure the internal resistance of a signal source (eg an arbitrary waveform generator) Measure the output waveform of simple RC circuits excited

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

Test Automation - Automatic Test Generation Technology and Its Applications

Test Automation - Automatic Test Generation Technology and Its Applications Test Automation - Automatic Test Generation Technology and Its Applications 1. Introduction Kwang-Ting (Tim) Cheng and Angela Krstic Department of Electrical and Computer Engineering University of California

More information

VLSI is scaling faster than number of interface pins

VLSI is scaling faster than number of interface pins High Speed Digital Signals Why Study High Speed Digital Signals Speeds of processors and signaling Doubled with last few years Already at 1-3 GHz microprocessors Early stages of terahertz Higher speeds

More information

IT HAS become well accepted that interconnect delay

IT HAS become well accepted that interconnect delay 442 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 4, DECEMBER 1999 Figures of Merit to Characterize the Importance of On-Chip Inductance Yehea I. Ismail, Eby G. Friedman,

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

Static Noise Analysis Methods and Algorithms

Static Noise Analysis Methods and Algorithms Static Noise Analysis Methods and Algorithms Final Survey Project Report 201C: Modeling of VLSI Circuits & Systems Amarnath Kasibhatla UID: 403662580 UCLA EE Department Email: amar@ee.ucla.edu Table of

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Parallel vs. Serial Inter-plane communication using TSVs

Parallel vs. Serial Inter-plane communication using TSVs Parallel vs. Serial Inter-plane communication using TSVs Somayyeh Rahimian Omam, Yusuf Leblebici and Giovanni De Micheli EPFL Lausanne, Switzerland Abstract 3-D integration is a promising prospect for

More information

WebHenry Web Based RLC interconnect tool

WebHenry Web Based RLC interconnect tool WebHenry Web Based RLC interconnect tool http://eda.ece.wisc.edu/webhenry Project Leader: Prof Lei He Students : Min Xu, Karan Mehra EDA Lab (http://eda.ece.wisc.edu] ECE Dept., University of Wisconsin,

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

ON CHIP COMMUNICATION ARCHITECTURE POWER ESTIMATION IN HIGH FREQUENCY HIGH POWER MODEL

ON CHIP COMMUNICATION ARCHITECTURE POWER ESTIMATION IN HIGH FREQUENCY HIGH POWER MODEL ON CHIP COMMUNICATION ARCHITECTURE POWER ESTIMATION IN HIGH FREQUENCY HIGH POWER MODEL Khalid B. Suliman 1, Rashid A. Saeed and Raed A. Alsaqour 3 1 Department of Electrical and Electronic Engineering,

More information

Modeling of Coplanar Waveguide for Buffered Clock Tree

Modeling of Coplanar Waveguide for Buffered Clock Tree Modeling of Coplanar Waveguide for Buffered Clock Tree Jun Chen Lei He Electrical Engineering Department Electrical Engineering Department University of California, Los Angeles University of California,

More information

Electromagnetic Interference Shielding Effects in Wireless Power Transfer using Magnetic Resonance Coupling for Board-to-Board Level Interconnection

Electromagnetic Interference Shielding Effects in Wireless Power Transfer using Magnetic Resonance Coupling for Board-to-Board Level Interconnection Electromagnetic Interference Shielding Effects in Wireless Power Transfer using Magnetic Resonance Coupling for Board-to-Board Level Interconnection Sukjin Kim 1, Hongseok Kim, Jonghoon J. Kim, Bumhee

More information

Variable-Segment & Variable-Driver Parallel Regeneration Techniques for RLC VLSI Interconnects

Variable-Segment & Variable-Driver Parallel Regeneration Techniques for RLC VLSI Interconnects Variable-Segment & Variable-Driver Parallel Regeneration Techniques for RLC VLSI Interconnects Falah R. Awwad Concordia University ECE Dept., Montreal, Quebec, H3H 1M8 Canada phone: (514) 802-6305 Email:

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 9: Noise Sources Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 5 Report and Prelab 6 due Apr. 3 Stateye

More information

Switching (AC) Characteristics of MOS Inverters. Prof. MacDonald

Switching (AC) Characteristics of MOS Inverters. Prof. MacDonald Switching (AC) Characteristics of MOS Inverters Prof. MacDonald 1 MOS Inverters l Performance is inversely proportional to delay l Delay is time to raise (lower) voltage at nodes node voltage is changed

More information

Clocktree RLC Extraction with Efficient Inductance Modeling

Clocktree RLC Extraction with Efficient Inductance Modeling Clocktree RLC Extraction with Efficient Inductance Modeling Norman Chang, Shen Lin, Lei He*, O. Sam Nakagawa, and Weize Xie Hewlett-Packard Laboratories, Palo Alto, CA, USA *University of Wisconsin, Madison,

More information

On-Chip Inductance Modeling and Analysis

On-Chip Inductance Modeling and Analysis On-Chip Inductance Modeling and Analysis Kaushik Gala, ladimir Zolotov, Rajendran Panda, Brian Young, Junfeng Wang, David Blaauw Motorola Inc., Austin TX 78729 kaushik.gala@motorola.com Abstract With operating

More information

Size Selection Of Energy Storing Elements For A Cascade Multilevel Inverter STATCOM

Size Selection Of Energy Storing Elements For A Cascade Multilevel Inverter STATCOM Size Selection Of Energy Storing Elements For A Cascade Multilevel Inverter STATCOM Dr. Jagdish Kumar, PEC University of Technology, Chandigarh Abstract the proper selection of values of energy storing

More information

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks Sanjay Pant, David Blaauw University of Michigan, Ann Arbor, MI Abstract The placement of on-die decoupling

More information

Decoupling Capacitance

Decoupling Capacitance Decoupling Capacitance Nitin Bhardwaj ECE492 Department of Electrical and Computer Engineering Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling

More information

UNEXPECTED through-silicon-via (TSV) defects may occur

UNEXPECTED through-silicon-via (TSV) defects may occur IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 10, OCTOBER 2017 1759 Grouping-Based TSV Test Architecture for Resistive Open and Bridge Defects in 3-D-ICs Young-woo

More information

IJMIE Volume 2, Issue 3 ISSN:

IJMIE Volume 2, Issue 3 ISSN: IJMIE Volume 2, Issue 3 ISSN: 2249-0558 VLSI DESIGN OF LOW POWER HIGH SPEED DOMINO LOGIC Ms. Rakhi R. Agrawal* Dr. S. A. Ladhake** Abstract: Simple to implement, low cost designs in CMOS Domino logic are

More information

EQUIVALENT WAVEFORM PROPAGATION FOR STATIC TIMING ANALYSIS

EQUIVALENT WAVEFORM PROPAGATION FOR STATIC TIMING ANALYSIS EQUIVALENT WAVEFORM PROPAGATION FOR STATIC TIMING ANALYSIS Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Dept. Communications and Computer Engineering, Kyoto University {hasimoto, onodera}@i.kyoto-u.ac.jp

More information

High Performance Signaling. Jan Rabaey

High Performance Signaling. Jan Rabaey High Performance Signaling Jan Rabaey Sources: Introduction to Digital Systems Engineering, Bill Dally, Cambridge Press, 1998. Circuits, Interconnections and Packaging for VLSI, H. Bakoglu, Addison-Wesley,

More information

Impact of Low-Impedance Substrate on Power Supply Integrity

Impact of Low-Impedance Substrate on Power Supply Integrity Impact of Low-Impedance Substrate on Power Supply Integrity Rajendran Panda and Savithri Sundareswaran Motorola, Austin David Blaauw University of Michigan, Ann Arbor Editor s note: Although it is tempting

More information

Worst-Case Aggressor-Victim Alignment with Current-Source Driver Models

Worst-Case Aggressor-Victim Alignment with Current-Source Driver Models 3.1 Worst-Case Aggressor-Victim Alignment with Current-Source Driver Models Ravikishore Gandikota University of Michigan Li Ding Synopsys, CA David Blaauw University of Michigan Peivand Tehrani Synopsys,

More information

892 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 5, MAY 2006

892 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 5, MAY 2006 892 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 5, MAY 2006 Short Papers Modeling and Analysis of Crosstalk Noise in Coupled RLC Interconnects Kanak Agarwal,

More information

Emulating and Diagnosing IR-Drop by Using Dynamic SDF

Emulating and Diagnosing IR-Drop by Using Dynamic SDF Emulating and Diagnosing IR-Drop by Using Dynamic SDF Ke Peng *, Yu Huang **, Ruifeng Guo **, Wu-Tung Cheng **, Mohammad Tehranipoor * * ECE Department, University of Connecticut, {kpeng, tehrani}@engr.uconn.edu

More information

Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction

Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction Bruce Tseng Faraday Technology Cor. Hsinchu, Taiwan Hung-Ming Chen Dept of EE National Chiao Tung U. Hsinchu, Taiwan April 14, 2008

More information

A Simulation Study of Simultaneous Switching Noise

A Simulation Study of Simultaneous Switching Noise A Simulation Study of Simultaneous Switching Noise Chi-Te Chen 1, Jin Zhao 2, Qinglun Chen 1 1 Intel Corporation Network Communication Group, LOC4/19, 9750 Goethe Road, Sacramento, CA 95827 Tel: 916-854-1178,

More information

Closed-Form Expressions for Interconnection Delay, Coupling, and Crosstalk in VLSI s

Closed-Form Expressions for Interconnection Delay, Coupling, and Crosstalk in VLSI s Closed-Form Expressions for Interconnection Delay, Coupling, and Crosstalk in VLSI s author Dr. Takayasu Sakurai Semiconductor Device Engnieering Laboratory, Toshiba Corporation, Tokoyo, Japan IEEE Transaction

More information

UPC. 6. Switching noise avoidance. 7. Qualitative guidelines for onchip Power Distribution Network design. 8. References

UPC. 6. Switching noise avoidance. 7. Qualitative guidelines for onchip Power Distribution Network design. 8. References 6. Switching noise avoidance 7. Qualitative guidelines for onchip Power Distribution Network design 8. References Switching noise avoidance: design Packages: Inductance dominates at high frequency Package

More information

Increasing Performance Requirements and Tightening Cost Constraints

Increasing Performance Requirements and Tightening Cost Constraints Maxim > Design Support > Technical Documents > Application Notes > Power-Supply Circuits > APP 3767 Keywords: Intel, AMD, CPU, current balancing, voltage positioning APPLICATION NOTE 3767 Meeting the Challenges

More information

An Enhanced Design Methodology for Resonant Clock. Trees

An Enhanced Design Methodology for Resonant Clock. Trees An Enhanced Design Methodology for Resonant Clock Trees Somayyeh Rahimian, Vasilis Pavlidis, Xifan Tang, and Giovanni De Micheli Abstract Clock distribution networks consume a considerable portion of the

More information

DAT175: Topics in Electronic System Design

DAT175: Topics in Electronic System Design DAT175: Topics in Electronic System Design Analog Readout Circuitry for Hearing Aid in STM90nm 21 February 2010 Remzi Yagiz Mungan v1.10 1. Introduction In this project, the aim is to design an adjustable

More information

PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO

PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO Paras Gupta 1, Pranjal Ahluwalia 2, Kanishk Sanwal 3, Peyush Pande 4 1,2,3,4 Department of Electronics

More information

Zhan Chen and Israel Koren. University of Massachusetts, Amherst, MA 01003, USA. Abstract

Zhan Chen and Israel Koren. University of Massachusetts, Amherst, MA 01003, USA. Abstract Layer Assignment for Yield Enhancement Zhan Chen and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 0003, USA Abstract In this paper, two algorithms

More information

EFFICIENT design of digital integrated circuits requires

EFFICIENT design of digital integrated circuits requires IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: FUNDAMENTAL THEORY AND APPLICATIONS, VOL. 46, NO. 10, OCTOBER 1999 1191 Modeling the Transistor Chain Operation in CMOS Gates for Short Channel Devices Spiridon

More information

High Performance ZVS Buck Regulator Removes Barriers To Increased Power Throughput In Wide Input Range Point-Of-Load Applications

High Performance ZVS Buck Regulator Removes Barriers To Increased Power Throughput In Wide Input Range Point-Of-Load Applications WHITE PAPER High Performance ZVS Buck Regulator Removes Barriers To Increased Power Throughput In Wide Input Range Point-Of-Load Applications Written by: C. R. Swartz Principal Engineer, Picor Semiconductor

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms *

A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms * A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms * Hanif Fatemi Shahin Nazarian Massoud Pedram EE-Systems Dept., University of Southern California Los Angeles, CA

More information

An Efficient Hybrid Voltage/Current mode Signaling Scheme for On-Chip Interconnects

An Efficient Hybrid Voltage/Current mode Signaling Scheme for On-Chip Interconnects An Efficient Hybrid Voltage/Current mode Signaling Scheme for On-Chip Interconnects M. Kavicharan, N.S. Murthy, and N. Bheema Rao Abstract Conventional voltage and current mode signaling schemes are unable

More information

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE Journal of Engineering Science and Technology Vol. 12, No. 12 (2017) 3344-3357 School of Engineering, Taylor s University DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE

More information

2 TD-MoM ANALYSIS OF SYMMETRIC WIRE DIPOLE

2 TD-MoM ANALYSIS OF SYMMETRIC WIRE DIPOLE Design of Microwave Antennas: Neural Network Approach to Time Domain Modeling of V-Dipole Z. Lukes Z. Raida Dept. of Radio Electronics, Brno University of Technology, Purkynova 118, 612 00 Brno, Czech

More information

Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC

Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC DesignCon 2017 Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC Kwangseok Choi, Samsung Electronics Inc. [aquarian505@gmail.com] Byunghyun Lee, Samsung Electronics Inc.

More information