Static Noise Analysis Methods and Algorithms

Size: px
Start display at page:

Download "Static Noise Analysis Methods and Algorithms"

Transcription

1 Static Noise Analysis Methods and Algorithms Final Survey Project Report 201C: Modeling of VLSI Circuits & Systems Amarnath Kasibhatla UID: UCLA EE Department

2 Table of Contents 1. Introduction Noise Margin Criteria Harmony: Static Noise Analysis Method Macro Level Global Level Aggressor Alignment for worst case noise Static Noise Analysis with Timing Windows Non-linear superposition of noise sources Conclusions References 18

3 1. Introduction Static Noise analysis is becoming increasingly important in the Sub-Micron era due to the potential functional failures that can result in static-noise-unchecked design and is now a metric in the design performance. Static Noise Analysis is a step in standard flow of digital integrated circuits. Static Noise can cause functional failures in different ways but all of them resulting due to data being corrupted at any of the static, dynamic nodes and even nodes with feedback. Noise margin is defined in a generic way as the amount of deviation of the input from the ideal logic HIGH or LOW values and still resulting in correct and valid output logic levels. Binary digital logic propagates through a network of logic gates in the form of two voltage levels corresponding to HIGH and LOW logic states. The nodes holding these voltages can be static, dynamic or regenerative. Any amount of noise that can be injected into these nodes without actually corrupting the correct data is called the noise margin of that node. There are various mechanisms that could induce noise onto the output node. Noise can propagate from the input to output when the input logic value is different from the ideal value and results in an output value different than ideal output value. This in turn propagates. This phenomenon is called Propagated Noise.This is illustrated in the below figure. The next way that noise could affect a node is through Capacitive Coupling as shown below. The parasitic coupling capacitance associated with interconnects induces noise on to the

4 neighboring nets disturbing their stable voltage levels. The time for which the coupling noise sustains depends on the values of coupling and self capacitances as well as the effective resistance of the transistors that strive to maintain the voltage of the node. The third common form of noise induction is through Charge Sharing. This is illustrated in the below Figure 3. The leakage currents can cause the charge on the output nodes to discharge into the internal nodes of the transistor stack. This happens especially in Dynamic logic where the charge at the output is vulnerable to both leakage as well as the input vector that turns on transistors in the stack. This is not considered serious because Dynamic logic circuits are generally designed with a keeper that will pull back the output voltage to the nominal level, but this can be a serious impediment in circuits with high leakage currents. Another way noise that can be induced on to the output as well as onto the input nodes is through capacitive feedback. This is shown in Figure 4. Inputs that share gate-drain capacitances with output nodes can actually directly couple the input value to the output resulting in overshoots and undershoots at the outputs. This sort of effect can actually inject noise onto other inputs in neighboring transistors through capacitive feedback. 2. Noise Margin Criteria One traditionally analyzes noise in analog circuits by adding noise generators for each possible physical noise source to the complete small-signal equivalent circuit. These noise generators are usually in the form of mean-square voltages or currents. By contrast, the highly nonlinear operation of digital circuits and the more deterministic nature of man-made noise sources requires an entirely different kind of analysis and verification metric. To guarantee that a digital integrated circuit will function, we must verify that latching structures that hold state do not falsely switch in the presence of noise. The act of switching a latch defined by a positivefeedback configuration of restoring logic gates involves making the circuit unstable. Therefore, we refer to the requirement that a latch not be driven unstable by noise as the essential

5 stability requirement. Essential stability is the necessary and sufficient condition for the functionality of a digital circuit. This is illustrated the below Figure 5. Let and be the voltages on nodes and, respectively. and are the transfer functions of gates I and II ie, y = f(x) and x = g(y). The latch will be stable in the presence of the series-voltage dc noise sources A and B on the evaluation nodes A and B, if the following equations hold at the bias point determined by these sources, as per [1], If the above condition is applied to every restoring logic gate in the circuit, it is never possible for any positive feedback configuration to switch in the presence of dc noise. This is the ondition which is traditionally used to define the worst case static noise margins (or simply static noise margins). The DC Noise margin defined by the above criteria is however much pessimistic and conservative to be applied for pulse noise sources such as those that of charge sharing or capacitive coupling because they fail to consider the fact that logic gates act as low pass filters. Pulse-noise amplitudes are allowed to be higher than static noise margins would allow, depending on the shape of the pulse. These dynamic noise margins are dependent on the time domain characteristics of the pulse noise. This can be illustrated using the circuit in Figure 6,

6 In the feedback circuit of Figure 6 a noise source is injected at the input A. The latch is initially in the state in which node is low and node is high with a 2.5- V supply. Figure 7 (a) shows the behavior of the latch when the peak noise amplitude of the injected noise is 1.37V and 1.38V. For 1.37 V, the noise is tolerated and for 1.38V the noise will corrupt the node voltages A and B. So according to this the noise margin is seen as 1.37V. However if we look at the 7(b), which shows the input and output waveforms and the time domain DC sensitivity, the noise corrupts the latch even if the input is 1.1 V. So according to the conventional definition, the noise margin is 1.1 V whereas the experiment for Figure 7(a) says that the circuit can tolerate up to 1.37 V noise. The fact that the latch can actually tolerate an additional 280 mv of pulse noise before switching is indicative of the conservatism in the noise stability approach. Because gate II is subunity-biased, more noise can be tolerated on gate I. This margin is not significant in practice for bistable latch circuits because once a restoring logic gate is biased by noise beyond the unity-sensitivity threshold, the magnitude of the sensitivity rapidly increases. The main source of conservatism in the noise-stability metric comes in applying this test at every restoring logic gate rather than only at latches. In a more generic manner the noise margin throughout this report uses this definition Every restoring logic gate, when acted upon by a noise stimulus, must have a time-domain dc-noise sensitivity that is always less than one. 3. Harmony: Static Noise Analysis Method Harmony is a two-level hierarchical noise analysis method proposed in [2]. The first level called Macro level performs noise analysis on a group of digital gates which are connected. In each Macro, at the Macro level, the method constructs graphs for all digital circuits for which noise analysis is done. The second level which is called Global level treats individual Macros as modules which are connected by interconnects and performs noise analysis globally.

7 3.1 MACRO level: For illustration consider the below digital circuit, which contains typical elements of a digital circuit path. Macro level method constructs a noise graph corresponding to the above circuit. There are three types of segments in a noise graph: restoring segments, propagate segments, and node-injection segments. Restoring segments cross gates that at some dc bias point have a small-signal gain greater than one. Noise is propagated across restoring segments; in addition, a noise stability check must also be performed. Propagate segments [e.g., the dashed line joining nodes and in Fig. 9] connect nodes, between which there is sub-unity gain at all dc bias points. Noise-stability checking is not required across propagate segments. Each restoring and propagate segment in the noise graph is labeled by the type of noise propagated by the segment. For example indicates that the segment propagates V L noise and transforms it into V H noise. The node-injection segments (dashed lines in Fig. 9 that are not sourced by nodes) can introduce noise directly onto an evaluation node, superposing with the propagated noise. Coupled interconnect noise, denoted by (C), and charge-sharing noise, denoted by (CS), are both modeled as node-injection segments. Once the noise graph is constructed, the loops of the graph are broken and the graph is topologically sorted for traversal.

8 The analysis of each node involves calculating, through transistor-level simulations, the noise appearing at each CCC output and verifying the stability of the noise waveforms appearing at each input. The noise calculation begins by establishing the dc voltages (or base levels) associated with logic high and logic low. These can differ from the rails by a threshold-voltage drop in the case of noncomplementary pass gates, for example. As part of this analysis, input combinations that cause the output of a node, O, to float (have no path to V DD or ground) or collide (have paths to both V DD and ground) are also examined. Both conditions should be reportable to the user since they sometimes represent unintended circuit behavior. Collision cases must be individually verified to determine if they unambiguously resolve to a logic high or logic low (e.g., ratioed logic has valid ratioing). In the case of floating nodes, a dc base level must be asserted at the output as an initial value (e.g., as might result from a previous phase precharge level). Subthreshold leakage in the case of dynamic or weakly-static nodes must also be considered as part of the dc base-level analysis. This leakage is allowed to act for a clockperiod-dependent period of time to determine the final degraded base level. Having established the base levels of logic high and low, we now consider the possible ways noise can upset this voltage, beginning with coupled noise. We define a path function f Pi,j as the logical condition for the channel path from to conduct. To sensitize for noise appearing on O due to capacitive coupling to a given node, D, in the CCC, we establish logic constraint relations depending on the type of noise propagating from D to O. In particular, let us consider the sensitizations that allow V L noise to appear on O due to capacitive coupling to D. In this case, the V L noise at O is produced by a perpetrator net switching from ground to V DD. There are two possible constraint relations. The first is as below, that allows V L noise on D to propagate to O. The second is below, that allows V H noise on D to propagate to O. Note that these sensitization conditions explicitly check that a transition on the output will not be produced by the full transition of the target input. Also note that the input constraints are smoothed with respect to the switching input since the switching input does not have to satisfy static logic constraints. In the example of Figure 8, if the NAND gate is very skewed in favor of the pulldown, then V H charge-sharing noise can be introduced at O. by the switching of input from low to high. In this case, and are one, while and are zero. This corresponds to sensitization 11 in Table I. These sensitizations can be obtained using Boolean Satisfiability method. Now the noise onto the node O due to propagation of input noise becomes the worst value from all the sensitizations from Table I. Similarly the sensitizations for Charge-sharing noise onto node O are obtained and hence the worst case noise at the node O. In general, to find the noise appearing at the output of a given node, we must find the combined sensitization producing the largest amplitude output noise for each noise type (VL or V H ).

9 In addition two types of constraints on the switching signals can be used to further limit noise combinations. Hazard-Free Logic Constraints: These are logic constraints that apply to signals that are known to be hazard-free. For example, if two hazard-free signals are complementary, then a rising transition on one implies a single falling transition on the other. Timing Orthogonality: If two signals cannot switch together as a result of static timing analysis, then the simultaneity of these two switching events is precluded in combining noise sources. At the Global level, the individual Macro level noise analysis reports are taken and stitched with the RC interconnect network to perform Global Level Noise analysis. For that purpose, the input and output nodes of the digital circuit groups analyzed at the Macro level are modeled as ports as show in Figure 10 with different behavior for static and dynamic conditions. Noise-inputs are pins through which noise can be injected; noise-outputs are pins from which noise can be propagated; while noise-bidis can function as both noise-inputs and noise-outputs. Ports of the network must be modeled in two ways, statically to determine how the ports act to

10 hold nets quiet in the presence of noise and dynamically to determine the noise that is propagating in on the given pin or that can be tolerated on a given pin. The static model for an input port, as shown in Figure 10, describes how the port acts to hold a net quiet R H and R L are the node impedances, the effective pull-up and pull-down resistances controlled by the variables H and L, which participate in sensitization along with other input variables. In most cases, H and L are constrained to be mutually exclusive, which precludes floating node and collision conditions. For an output pin, the static model is a capacitor as shown in Figure 10. The dynamic model for noise-input pins consists of a piece-wise-linear voltage source connected to the pin. Noise-outputs can have a dynamic model characterized by a dc noise margin check. 3.2 Global Level Once Macro Harmony has been used to analyze each macro block, we must consider all the long interconnect of the chip. The Global Harmony engine is nothing more than a detailed coupled noise calculator, since all the transistorlevel analysis is done and abstracted by Macro Harmony. Interconnect resistance is included in the Global Harmony interconnect analysis. In addition, timing information becomes very important in reducing pessimism, since most of the coupled noise is introduced in the global wires connecting the macros. This global detailed timing information is also available in the design methodology in which Global Harmony is employed. The reduced-order modeling approach employed in Global Harmony guarantees passive, multiport macromodels with symmetry that allows for efficient storage of the results. Multiport models are used so that the interconnect models remain independent of changes in the macro driver strengths and input pin capacitances. These macromodels are also employed in the static timing analysis of the same design. The first step in the reduction process is to identify a net complex for each global net in the design. The primary net of the complex is the net on which we are trying to calculate the noise; that is, the net which should be statically quiet. The complex also includes secondary nets of significant coupling to the primary net. To determine which secondary nets to include in a complex, we calculate the ratio for each secondary net, where is the total coupling capacitance to the given secondary net and is the self capacitance of the primary net in the complex. Secondary nets for which this ratio is below a designated threshold are discarded. Modified nodal analysis (MNA) is used to stamp conductance and capacitance matrices according to the multiinput, multioutput, linear time-invariant differential equations x,v and i are the state, output voltage, and input current vectors, respectively. For a system with n nodes and r ports G and C are the symmetric, positive semidefinite conductance, and capacitance matrices, respectively. The state vector is ordered so that the first elements represent the port voltages. Moving into the Laplace domain, led to an expression for the -by- multiport impedance matrix for the net complex The noise abstracts generated from the Macro Harmony run are used along with the interconnect macromodels to check the noise on the global interconnect. First driver resistance and receiver

11 capacitances are folded from the abstract port modeling for the primary net into the multiport impedance as shown in Figure 11. It is assumed that the superposition principle applies for calculating the effective noise at a node. The problem can be formally stated as follows. Let c i be the peak noise on a given primary receiver associated with driver i.. Let t i early be the earliest arrival time associated with secondary driver and let t i late be the latest arrival time associated with secondary driver i. let τ i be the switching time associated with secondary net driver I, such that all the noise peaks align for the primary receiver in question. Let x i be the binary variable indicating whether the given secondary net driver is switching, and let be the number of secondary nets. The problem is then to maximize such that the following constraints can be satisfied for all : where is a continuous variable determining the absolute time reference for the τ i. This formulation assumes a certain sharpness to the noise peaks. When the peak falls outside the arrival-time window, its contribution is taken as zero. Brand and bound is utilized to solve this problem since the noise on each subtree can be easily bounded by the assumption that each node in that subtree is contributing. Effectively the noise propagated is added to the noise from the receivers and an overall noise-slack report is generated.

12 4. Aggressor Alignment for Worst Case Noise The third paper [3] proposes a technique that aligns aggressor coupling noise effects to estimate the worst case output noise more accurately. The important contributions of this paper in terms of improvement over HARMONY [2] are as below: 1. The assumption in HARMONY that the worst case noise is the sum of individual noises aligned in time domain does not necessarily lead to Worst Case Noise. (WCN) 2. The simple linear Thevenin model of HARMONY is inaccurate for large values of noise, because transistors are no longer in triode region. These models are replaced by a slightly better PWL version. 3. HARMONY assumption that excludes contribution of aggressors whose noise peaks are not within timing window is inaccurate for large pulse widths. Capacitive coupling effects depend on the characteristics of drivers, interconnects and receivers. Interconnect coupling network consists of resistors and capacitors. The receiver functions as a loading capacitor. All the above mentioned elements are linear, except for the victim driver whose modeling will be discussed below. When the noise amplitude resulting from switching of all the acting aggressors is small, the quiet victim driver works in its linear region, so it can also be treated as a linear element. Therefore, superposition should be applicable for coupling induced noise in such a case. However, with stronger coupling, noise is no longer small. Thus the assumption that the victim s driver is in its linear range may not be true. The summation of individual peak noises may not result in an upper bound for coupling noise. Figure 12 shows the inaccuracies that result due to traditional aggressor alignment. Figure 12(c) and (d) show that the output noises due to individual aggressors align with each other when aggressor input arrivals are skewed with respect to each other. So this actually generates a sweep line (the dotted line) as shown in (c) that represents the relative skew requirement for WCN. Now this analysis is done assuming that the aggressor input values

13 arrivals have no restrictions on them. When the real arrival window times of the aggressors are known, the sweep line can be used to find out the agressors that actually contribute to the output node as shown in the below Figure 13. So now, only agressors A1, A2 and A5 which actually overlap with the sweep line contribute to the noise at the output and the effective noise at the output will be their sum. Aggressor Alignment with timing constraints requires Effective Pulse Width (EPW) calculation. EPW is a measure of the range of a noise waveform. Given v 0 (t) as noise output, its EPW is defined as follows:

14 Through simulation, it was found that the width of the noise pulse cannot be neglected (several hundred pico-second is not an unusual value), and the actual shape of noise pulse is not always sharp at its top. Therefore, partial contribution (when the peak noise is not aligned) of each coupling noise which has been ignored in [2] should still be considered. Aggressor alignment 2 can be re-formulated as shown in figure 14. Figure 14(a) shows the original timing window and sweep line. In figure 14(b), the sweep line has been straightened. Consequently, the timing windows have been moved and satisfy the following condition: A line sweep in (a) is equivalent to that of (b), in terms of vertical intersections with particular aggressor windows. In figure 14(c), timing window has been expanded to include the width of the noise pulse. The total expanded portion for each timing window is the corresponding pulse width EPW. Now with a set of adjusted and expanded timing windows, as well as an imaginary straightened sweep line, we re-formulate the aggressor alignment problem as a Weighted Channel Density problem which calculates maximum number of segments intersecting a vertical line. Our weighted channel density algorithm finds the location for maximum vertical channel density with segments weighted. It is a direct extension of the original channel density algorithm and is not list it here. The location information will be used to decide the switching aggressors involved in maximum noise as well as their corresponding arrival times. 5. Static Noise Analysis with Timing Windows The fourth paper [4] proposes a technique that allows more accurate estimation of noise through noise window propagation instead of absolute worst case noise through a signal path. The key contributions of this paper are: a) The timing of the injected noise is considered before propagating it to the next stage. b) Timing of the injected noise should be aligned with the clock s setup and hold window before flagging the noise as a violation that corrupts the latch data. c) Finally, the timing window of the noise instead of just the DC worst case noise is propagated to more accurately estimating the worst case noise and reduces false violations. Conceptually, noise glitches propagate through logic gates just as switching signals propagate through logic gates. So, just like Static Timing Analysis, Static noise analysis also can calculate the early and late arrival times of noise in every net. The early and late arrival times at register inputs are checked against the clock arrival time T CK and the setup and hold times to determine timing violation. In Figure 15, nets a1 and a2 are aggressors to nets 1 and 2 respectively. [t a1e,t a1l ] defines the early and late arrival times, or timing windows, of aggressor net a1. When a1 switches, a noise glitch is created on net 1 at time tda1 delay from the switching point of a1 to the gate input of net 1. The peak magnitude of the noise on net 1 is represented by v1. Hence, the noise window on net 1 is represented by the triplet [t 1E,t 1L,v 1 ]. A similar calculation is performed on net 2. Next we calculate the noise window on net 3. In essence we need to calculate the output noise waveform and the propagation delay from input noise peak to

15 output noise peak. The propagation delay is represented by t D13 and t D23. The output noise magnitude from input noises at net 1 and net 2 are represented by v 13 and v 23 respectively. The noise window at net 3 is the union of the noise window on net 3 contributed by net 1, and the noise window on net 3 contributed by net 2. Finally, when a noise window reaches a register input, the intersection of the noise window and the register s sensitive window forms the effective noise window [t 3E,t 3L,v 3 ]. The worst case noise waveform within the effective noise window is used as propagated noise input to the register to determine noise immunity. As an example, the circuit in Figure 16 shows various sources of noise and how they are propagated down the digital path.

16 This analysis shows that the final value of D which sees the injected noises from various sources will pass on the noise only if the arrival timing window of the noise overlaps with the clock s setup and hold window. 6. Non-linear superposition of Noise sources This paper [5] proposes a new model for accurate Worst Case Noise estimation. The key contribution of this paper is the model for drivers of victim nets in the presence of large noises. The problem with large noises being that the transistors connected to the output victim node will be driven into saturation region and hence the Worst case noise is no longer the superposition of noises contributed from input noise propagation and output capacitive coupling noise. The below table illustrates the fact that the propagated noise and injected noise cannot be simply summed up to get the worst case noise, as its value compared to that of spice simulation shows significant overestimation. The key idea behind more accurate noise estimation comes from the fact that this paper models the victim driver using current source which is dependent on the output node voltage. And the aggressor is modeled as thevenin voltage source as usual since it was found that this doesn t affect accuracy. So the circuit model for noise calculation is as shown in Figure 17.

17 Now the accurate total noise glitch at the Victim driver point, due to input propagated noise is found using Quasi Linear Transient Analysis is given by a Two pole model: Waveform-collapsing algorithm expresses the analytical triplet in terms of noise glitch parameters like Amplitude VM, Area A, width and time-to-peak, with the initial relation as shown in the below equation. Now V(t) is obtained by computing the roots of the following equation. The noise at the output due to multiple aggressors can be found out using Model Order reduction which reduces the network to R and C segments. Finally, the total noise contributed by both input propagated noise and aggressor induced noise is given by the below equation The first part of the above equation is solved in reality using Model Order Reduction technique and the second part using the two port model of Quasi Trans linear Analysis. The results show that the accuracy has good improvements for this method compared to the traditional super position principle applied in all the previous works.

18 7. Conclusions This report starts by defining Static Noise Margin and the importance of Static Noise Analysis in the first and second chapters. The noise margin criterion that Is described in [1] is presented and the analysis in [2] is described. The seed paper HARMONY [2] is discussed in detail where the two-level hierarchical flow involving MACRO and GLOBAL levels performs full-chip static noise estimation. At MACRO level, the noise graph representation and sensitization formulation are described in detail with examples to show how to arrive at the worst case noise at a node due to various sources. The GLOBAL level method that performs noise estimation on top of details from macro step is presented. The method is conclusively a base for general static noise analysis estimation. The next paper [3] describes aggressor alignment technique that shows major improvements over the methods used in Harmony all of which contribute to more accurate estimation. The basic idea that worst case noise is not generated due to aggressors aligned in time is described along with the more accurate method to find out the true worst case noise, along with the aggressor arrival time constraints. The next paper [4] which contributes new methods to accurately predict static noise injection using noise window propagation and register sensitive window computation was presented. This has added advantage to that of [3] because of noise window propagation. Standard cell non-linear effects on noise glitch waveform that is described in [5]. This shows that existing papers [1] to [4] consider the linear superposition of noise which is inaccurate and improves on it. In summary, the seed paper for Static Noise Analysis is analyzed and presented in detailed followed by study of papers, in chronological order, that show good improvements over the seed paper. The key contributions of each of the papers are described at the beginning of their corresponding sections. The new methods that these papers propose have been described along with results. 8. References [1] Jan Lohstroh Evert Seevinck, Andjan De Groot Worst-Case Static Noise Margin Criteria for Logic Circuits and Their Mathematical Equivalence [2] K. L. Shepard, V. Narayanan, P. C. Elmendorf, and G. Zheng, Global Harmony: Coupled Noise Analysis for Full- Chip RC Interconnect Networks, in Proc. Intl. Conf. on Computer- Aided Design, Nov. 1997, pp [3] L. H. Chen, M. Marek-Sadowska, Aggressor alignment for worst-case crosstalk noise, IEEE Tran. Computer-Aided Design, vol. 20, no. 5, pp May [4] Ken Tseng, Vinod Kariat Static Noise Analysis with Noise Windows, Annual ACM IEEE Design Automation Conference, [5] Cristiano Forzan, Davide Pandini A Complete Methodology for an Accurate Static Noise Analysis Proceedings of the conference on Design, automation and test in Europe, p.812, March 04-08, 2005

NOISE has traditionally been a concern to analog designers,

NOISE has traditionally been a concern to analog designers, 1132 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 8, AUGUST 1999 Harmony: Static Noise Analysis of Deep Submicron Digital Integrated Circuits Kenneth L. Shepard,

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Body Voltage Estimation in Digital PD-SOI Circuits and Its Application to Static Timing Analysis

Body Voltage Estimation in Digital PD-SOI Circuits and Its Application to Static Timing Analysis 888 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 20, NO. 7, JULY 2001 Body Voltage Estimation in Digital PD-SOI Circuits and Its Application to Static Timing Analysis

More information

Worst Case RLC Noise with Timing Window Constraints

Worst Case RLC Noise with Timing Window Constraints Worst Case RLC Noise with Timing Window Constraints Jun Chen Electrical Engineering Department University of California, Los Angeles jchen@ee.ucla.edu Lei He Electrical Engineering Department University

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Dynamic Threshold for Advanced CMOS Logic

Dynamic Threshold for Advanced CMOS Logic AN-680 Fairchild Semiconductor Application Note February 1990 Revised June 2001 Dynamic Threshold for Advanced CMOS Logic Introduction Most users of digital logic are quite familiar with the threshold

More information

Static Noise Analysis for Digital Integrated Circuits in Partially Depleted Silicon-on-Insulator Technology

Static Noise Analysis for Digital Integrated Circuits in Partially Depleted Silicon-on-Insulator Technology 916 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 21, NO. 8, AUGUST 2002 Static Noise Analysis for Digital Integrated Circuits in Partially Depleted Silicon-on-Insulator

More information

35 th Design Automation Conference Copyright 1998 ACM

35 th Design Automation Conference Copyright 1998 ACM Design Methodologies for Noise in Digital Integrated Circuits Kenneth L. Shepard Department of lectrical ngineering Columbia University, New York, NY 7 bstract In this paper, we describe the growing problems

More information

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR Janusz A. Starzyk and Ying-Wei Jan Electrical Engineering and Computer Science, Ohio University, Athens Ohio, 45701 A designated contact person Prof.

More information

Kenneth L. Shepard and Vinod Narayanan. IBM T. J. Watson Research Center. Yorktown Heights, NY 10598

Kenneth L. Shepard and Vinod Narayanan. IBM T. J. Watson Research Center. Yorktown Heights, NY 10598 Noise in Deep Submicron Digital Design Kenneth L. Shepard and Vinod Narayanan IBM T. J. Watson Research Center Yorktown Heights, NY 10598 Abstract As technology scales into the deep submicron regime, noise

More information

Chapter 13: Introduction to Switched- Capacitor Circuits

Chapter 13: Introduction to Switched- Capacitor Circuits Chapter 13: Introduction to Switched- Capacitor Circuits 13.1 General Considerations 13.2 Sampling Switches 13.3 Switched-Capacitor Amplifiers 13.4 Switched-Capacitor Integrator 13.5 Switched-Capacitor

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling

Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 10, OCTOBER 2001 1587 Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling Takashi Sato, Member, IEEE, Dennis

More information

Digital Systems Power, Speed and Packages II CMPE 650

Digital Systems Power, Speed and Packages II CMPE 650 Speed VLSI focuses on propagation delay, in contrast to digital systems design which focuses on switching time: A B A B rise time propagation delay Faster switching times introduce problems independent

More information

CMOS Digital Integrated Circuits Analysis and Design

CMOS Digital Integrated Circuits Analysis and Design CMOS Digital Integrated Circuits Analysis and Design Chapter 8 Sequential MOS Logic Circuits 1 Introduction Combinational logic circuit Lack the capability of storing any previous events Non-regenerative

More information

43.2. Figure 1. Interconnect analysis using linear simulation and superposition

43.2. Figure 1. Interconnect analysis using linear simulation and superposition 43.2 Driver Modeling and Alignment for Worst-Case Delay Noise Supamas Sirichotiyakul, David Blaauw, Chanhee Oh, Rafi Levy*, Vladimir Zolotov, Jingyan Zuo Motorola Inc. Austin, TX, *Motorola Semiconductor

More information

Chapter 13 Oscillators and Data Converters

Chapter 13 Oscillators and Data Converters Chapter 13 Oscillators and Data Converters 13.1 General Considerations 13.2 Ring Oscillators 13.3 LC Oscillators 13.4 Phase Shift Oscillator 13.5 Wien-Bridge Oscillator 13.6 Crystal Oscillators 13.7 Chapter

More information

Designing Information Devices and Systems II Fall 2017 Note 1

Designing Information Devices and Systems II Fall 2017 Note 1 EECS 16B Designing Information Devices and Systems II Fall 2017 Note 1 1 Digital Information Processing Electrical circuits manipulate voltages (V ) and currents (I) in order to: 1. Process information

More information

I DDQ Current Testing

I DDQ Current Testing I DDQ Current Testing Motivation Early 99 s Fabrication Line had 5 to defects per million (dpm) chips IBM wanted to get 3.4 defects per million (dpm) chips Conventional way to reduce defects: Increasing

More information

Introduction. Timing Verification

Introduction. Timing Verification Timing Verification Sungho Kang Yonsei University YONSEI UNIVERSITY Outline Introduction Timing Simulation Static Timing Verification PITA Conclusion 2 1 Introduction Introduction Variations in component

More information

A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms *

A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms * A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms * Hanif Fatemi Shahin Nazarian Massoud Pedram EE-Systems Dept., University of Southern California Los Angeles, CA

More information

CMOS Schmitt Trigger A Uniquely Versatile Design Component

CMOS Schmitt Trigger A Uniquely Versatile Design Component CMOS Schmitt Trigger A Uniquely Versatile Design Component INTRODUCTION The Schmitt trigger has found many applications in numerous circuits, both analog and digital. The versatility of a TTL Schmitt is

More information

Advanced Operational Amplifiers

Advanced Operational Amplifiers IsLab Analog Integrated Circuit Design OPA2-47 Advanced Operational Amplifiers כ Kyungpook National University IsLab Analog Integrated Circuit Design OPA2-1 Advanced Current Mirrors and Opamps Two-stage

More information

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407 Index A Accuracy active resistor structures, 46, 323, 328, 329, 341, 344, 360 computational circuits, 171 differential amplifiers, 30, 31 exponential circuits, 285, 291, 292 multifunctional structures,

More information

Lecture 10. Circuit Pitfalls

Lecture 10. Circuit Pitfalls Lecture 10 Circuit Pitfalls Intel Corporation jstinson@stanford.edu 1 Overview Reading Lev Signal and Power Network Integrity Chandrakasen Chapter 7 (Logic Families) and Chapter 8 (Dynamic logic) Gronowski

More information

Practical Testing Techniques For Modern Control Loops

Practical Testing Techniques For Modern Control Loops VENABLE TECHNICAL PAPER # 16 Practical Testing Techniques For Modern Control Loops Abstract: New power supply designs are becoming harder to measure for gain margin and phase margin. This measurement is

More information

Appendix. RF Transient Simulator. Page 1

Appendix. RF Transient Simulator. Page 1 Appendix RF Transient Simulator Page 1 RF Transient/Convolution Simulation This simulator can be used to solve problems associated with circuit simulation, when the signal and waveforms involved are modulated

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 9: Noise Sources Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 5 Report and Prelab 6 due Apr. 3 Stateye

More information

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. Abstract This paper presents a novel SRAM design for nanoscale CMOS. The new design addresses

More information

Managing Cross-talk Noise

Managing Cross-talk Noise Managing Cross-talk Noise Rajendran Panda Motorola Inc., Austin, TX Advanced Tools Organization Central in-house CAD tool development and support organization catering to the needs of all design teams

More information

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits FDTD Analysis of High-Speed Cells in Silicon Integrated Circuits Neven Orhanovic and Norio Matsui Applied Simulation Technology Gateway Place, Suite 8 San Jose, CA 9 {neven, matsui}@apsimtech.com Abstract

More information

HIGH-performance microprocessors employ advanced circuit

HIGH-performance microprocessors employ advanced circuit IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 5, MAY 1999 645 Timing Verification of Sequential Dynamic Circuits David Van Campenhout, Student Member, IEEE,

More information

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits Lec Sequential CMOS Logic Circuits Sequential Logic In Combinational Logic circuit Out Memory Sequential The output is determined by Current inputs Previous inputs Output = f(in, Previous In) The regenerative

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 CPE/EE 427, CPE 527 VLSI Design I L02: Design Metrics Department of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-03f

More information

A Bottom-Up Approach to on-chip Signal Integrity

A Bottom-Up Approach to on-chip Signal Integrity A Bottom-Up Approach to on-chip Signal Integrity Andrea Acquaviva, and Alessandro Bogliolo Information Science and Technology Institute (STI) University of Urbino 6029 Urbino, Italy acquaviva@sti.uniurb.it

More information

An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation

An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation Also presented at the January 31, 2005 IBIS Summit SIGRITY, INC. Sam Chitwood Raymond Y. Chen Jiayuan Fang March 2005

More information

CROSS-COUPLING capacitance and inductance have. Performance Optimization of Critical Nets Through Active Shielding

CROSS-COUPLING capacitance and inductance have. Performance Optimization of Critical Nets Through Active Shielding IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 51, NO. 12, DECEMBER 2004 2417 Performance Optimization of Critical Nets Through Active Shielding Himanshu Kaul, Student Member, IEEE,

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Chapter 4: Differential Amplifiers

Chapter 4: Differential Amplifiers Chapter 4: Differential Amplifiers 4.1 Single-Ended and Differential Operation 4.2 Basic Differential Pair 4.3 Common-Mode Response 4.4 Differential Pair with MOS Loads 4.5 Gilbert Cell Single-Ended and

More information

5. CMOS Gates: DC and Transient Behavior

5. CMOS Gates: DC and Transient Behavior 5. CMOS Gates: DC and Transient Behavior Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 September 18, 2017 ECE Department, University

More information

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic M.Manikandan 2,Rajasri 2,A.Bharathi 3 Assistant Professor, IFET College of Engineering, Villupuram, india 1 M.E,

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

IT has been extensively pointed out that with shrinking

IT has been extensively pointed out that with shrinking IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 5, MAY 1999 557 A Modeling Technique for CMOS Gates Alexander Chatzigeorgiou, Student Member, IEEE, Spiridon

More information

ICCAD 2014 Contest Incremental Timing-driven Placement: Timing Modeling and File Formats v1.1 April 14 th, 2014

ICCAD 2014 Contest Incremental Timing-driven Placement: Timing Modeling and File Formats v1.1 April 14 th, 2014 ICCAD 2014 Contest Incremental Timing-driven Placement: Timing Modeling and File Formats v1.1 April 14 th, 2014 http://cad contest.ee.ncu.edu.tw/cad-contest-at-iccad2014/problem b/ 1 Introduction This

More information

MTCMOS Hierarchical Sizing Based on Mutual Exclusive Discharge Patterns

MTCMOS Hierarchical Sizing Based on Mutual Exclusive Discharge Patterns MTCMOS Hierarchical Sizing Based on Mutual Exclusive Discharge Patterns James Kao, Siva Narendra, Anantha Chandrakasan Department of Electrical Engineering and Computer Science Massachusetts Institute

More information

IN RECENT years, low-dropout linear regulators (LDOs) are

IN RECENT years, low-dropout linear regulators (LDOs) are IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 9, SEPTEMBER 2005 563 Design of Low-Power Analog Drivers Based on Slew-Rate Enhancement Circuits for CMOS Low-Dropout Regulators

More information

Testing Power Sources for Stability

Testing Power Sources for Stability Keywords Venable, frequency response analyzer, oscillator, power source, stability testing, feedback loop, error amplifier compensation, impedance, output voltage, transfer function, gain crossover, bode

More information

Chapter 13: Comparators

Chapter 13: Comparators Chapter 13: Comparators So far, we have used op amps in their normal, linear mode, where they follow the op amp Golden Rules (no input current to either input, no voltage difference between the inputs).

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

Impact of Low-Impedance Substrate on Power Supply Integrity

Impact of Low-Impedance Substrate on Power Supply Integrity Impact of Low-Impedance Substrate on Power Supply Integrity Rajendran Panda and Savithri Sundareswaran Motorola, Austin David Blaauw University of Michigan, Ann Arbor Editor s note: Although it is tempting

More information

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency Jamie E. Reinhold December 15, 2011 Abstract The design, simulation and layout of a UMAINE ECE Morse code Read Only Memory and transmitter

More information

Tuesday, March 22nd, 9:15 11:00

Tuesday, March 22nd, 9:15 11:00 Nonlinearity it and mismatch Tuesday, March 22nd, 9:15 11:00 Snorre Aunet (sa@ifi.uio.no) Nanoelectronics group Department of Informatics University of Oslo Last time and today, Tuesday 22nd of March:

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

Separation and Extraction of Short-Circuit Power Consumption in Digital CMOS VLSI Circuits

Separation and Extraction of Short-Circuit Power Consumption in Digital CMOS VLSI Circuits Separation and Extraction of Short-Circuit Power Consumption in Digital CMOS VLSI Circuits Atila Alvandpour, Per Larsson-Edefors, and Christer Svensson Div of Electronic Devices, Dept of Physics, Linköping

More information

8. Combinational MOS Logic Circuits

8. Combinational MOS Logic Circuits 8. Combinational MOS Introduction Combinational logic circuits, or gates, witch perform Boolean operations on multiple input variables and determine the output as Boolean functions of the inputs, are the

More information

DESIGN TIP DT Managing Transients in Control IC Driven Power Stages 2. PARASITIC ELEMENTS OF THE BRIDGE CIRCUIT 1. CONTROL IC PRODUCT RANGE

DESIGN TIP DT Managing Transients in Control IC Driven Power Stages 2. PARASITIC ELEMENTS OF THE BRIDGE CIRCUIT 1. CONTROL IC PRODUCT RANGE DESIGN TIP DT 97-3 International Rectifier 233 Kansas Street, El Segundo, CA 90245 USA Managing Transients in Control IC Driven Power Stages Topics covered: By Chris Chey and John Parry Control IC Product

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

Chapter 10: Compensation of Power Transmission Systems

Chapter 10: Compensation of Power Transmission Systems Chapter 10: Compensation of Power Transmission Systems Introduction The two major problems that the modern power systems are facing are voltage and angle stabilities. There are various approaches to overcome

More information

INF4420 Switched capacitor circuits Outline

INF4420 Switched capacitor circuits Outline INF4420 Switched capacitor circuits Spring 2012 1 / 54 Outline Switched capacitor introduction MOSFET as an analog switch z-transform Switched capacitor integrators 2 / 54 Introduction Discrete time analog

More information

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces DesignCon 2010 On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces Ralf Schmitt, Rambus Inc. [Email: rschmitt@rambus.com] Hai Lan, Rambus Inc. Ling Yang, Rambus Inc. Abstract

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

Specifying A D and D A Converters

Specifying A D and D A Converters Specifying A D and D A Converters The specification or selection of analog-to-digital (A D) or digital-to-analog (D A) converters can be a chancey thing unless the specifications are understood by the

More information

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 77-81 International Research Publication House http://www.irphouse.com Noise Tolerance Dynamic CMOS Logic

More information

11. What is fall time (tf) in transistor? The time required for the collector current to fall from 90% to 10% of its DEPARTMENT OF ECE EC 6401 Electronic Circuits II UNIT-IV WAVE SHAPING AND MULTIVIBRATOR

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

Accurate and Efficient Macromodel of Submicron Digital Standard Cells

Accurate and Efficient Macromodel of Submicron Digital Standard Cells Accurate and Efficient Macromodel of Submicron Digital Standard Cells Cristiano Forzan, Bruno Franzini and Carlo Guardiani SGS-THOMSON Microelectronics, via C. Olivetti, 2, 241 Agrate Brianza (MI), ITALY

More information

Stacked-FET linear SOI CMOS SPDT antenna switch with input P1dB greater than

Stacked-FET linear SOI CMOS SPDT antenna switch with input P1dB greater than LETTER IEICE Electronics Express, Vol.9, No.24, 1813 1822 Stacked-FET linear SOI CMOS SPDT antenna switch with input P1dB greater than 40 dbm Donggu Im 1a) and Kwyro Lee 1,2 1 Department of EE, Korea Advanced

More information

IN the design of the fine comparator for a CMOS two-step flash A/D converter, the main design issues are offset cancelation

IN the design of the fine comparator for a CMOS two-step flash A/D converter, the main design issues are offset cancelation JOURNAL OF STELLAR EE315 CIRCUITS 1 A 60-MHz 150-µV Fully-Differential Comparator Erik P. Anderson and Jonathan S. Daniels (Invited Paper) Abstract The overall performance of two-step flash A/D converters

More information

INF4420. Switched capacitor circuits. Spring Jørgen Andreas Michaelsen

INF4420. Switched capacitor circuits. Spring Jørgen Andreas Michaelsen INF4420 Switched capacitor circuits Spring 2012 Jørgen Andreas Michaelsen (jorgenam@ifi.uio.no) Outline Switched capacitor introduction MOSFET as an analog switch z-transform Switched capacitor integrators

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

Background (What Do Line and Load Transients Tell Us about a Power Supply?)

Background (What Do Line and Load Transients Tell Us about a Power Supply?) Maxim > Design Support > Technical Documents > Application Notes > Power-Supply Circuits > APP 3443 Keywords: line transient, load transient, time domain, frequency domain APPLICATION NOTE 3443 Line and

More information

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP 1 B. Praveen Kumar, 2 G.Rajarajeshwari, 3 J.Anu Infancia 1, 2, 3 PG students / ECE, SNS College of Technology, Coimbatore, (India)

More information

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute.  From state elements ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: April 2, 2019 Sequential Logic, Timing Hazards and Dynamic Logic Lecture Outline! Sequential Logic! Timing Hazards! Dynamic Logic 4 Sequential

More information

Experiment #7 MOSFET Dynamic Circuits II

Experiment #7 MOSFET Dynamic Circuits II Experiment #7 MOSFET Dynamic Circuits II Jonathan Roderick Introduction The previous experiment introduced the canonic cells for MOSFETs. The small signal model was presented and was used to discuss the

More information

Using Signaling Rate and Transfer Rate

Using Signaling Rate and Transfer Rate Application Report SLLA098A - February 2005 Using Signaling Rate and Transfer Rate Kevin Gingerich Advanced-Analog Products/High-Performance Linear ABSTRACT This document defines data signaling rate and

More information

Applied Electronics II

Applied Electronics II Applied Electronics II Chapter 4: Wave shaping and Waveform Generators School of Electrical and Computer Engineering Addis Ababa Institute of Technology Addis Ababa University Daniel D./Getachew T./Abel

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R RW 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

Differential Amplifiers/Demo

Differential Amplifiers/Demo Differential Amplifiers/Demo Motivation and Introduction The differential amplifier is among the most important circuit inventions, dating back to the vacuum tube era. Offering many useful properties,

More information

ECEN 474/704 Lab 6: Differential Pairs

ECEN 474/704 Lab 6: Differential Pairs ECEN 474/704 Lab 6: Differential Pairs Objective Design, simulate and layout various differential pairs used in different types of differential amplifiers such as operational transconductance amplifiers

More information

A new class AB folded-cascode operational amplifier

A new class AB folded-cascode operational amplifier A new class AB folded-cascode operational amplifier Mohammad Yavari a) Integrated Circuits Design Laboratory, Department of Electrical Engineering, Amirkabir University of Technology, Tehran, Iran a) myavari@aut.ac.ir

More information

ELECTRIC CIRCUITS. Third Edition JOSEPH EDMINISTER MAHMOOD NAHVI

ELECTRIC CIRCUITS. Third Edition JOSEPH EDMINISTER MAHMOOD NAHVI ELECTRIC CIRCUITS Third Edition JOSEPH EDMINISTER MAHMOOD NAHVI Includes 364 solved problems --fully explained Complete coverage of the fundamental, core concepts of electric circuits All-new chapters

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

EC O4 403 DIGITAL ELECTRONICS

EC O4 403 DIGITAL ELECTRONICS EC O4 403 DIGITAL ELECTRONICS Asynchronous Sequential Circuits - II 6/3/2010 P. Suresh Nair AMIE, ME(AE), (PhD) AP & Head, ECE Department DEPT. OF ELECTONICS AND COMMUNICATION MEA ENGINEERING COLLEGE Page2

More information

The steeper the phase shift as a function of frequency φ(ω) the more stable the frequency of oscillation

The steeper the phase shift as a function of frequency φ(ω) the more stable the frequency of oscillation It should be noted that the frequency of oscillation ω o is determined by the phase characteristics of the feedback loop. the loop oscillates at the frequency for which the phase is zero The steeper the

More information

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects International Journal of Scientific and Research Publications, Volume 3, Issue 9, September 2013 1 A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip

More information

Derivation of an Asynchronous Counter

Derivation of an Asynchronous Counter Derivation of an Asynchronous Counter with 105ps/bit load time and early completion in 90nm CMOS Adam Megacz July 17, 2009 Abstract This draft memo describes the process by which I methodically derived

More information

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin The Effect of Threshold Voltages on the Soft Error Rate - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin Outline Introduction Soft Errors High Threshold ( V t ) Charge Creation Logic Attenuation

More information

Analysis of Laddering Wave in Double Layer Serpentine Delay Line

Analysis of Laddering Wave in Double Layer Serpentine Delay Line International Journal of Applied Science and Engineering 2008. 6, 1: 47-52 Analysis of Laddering Wave in Double Layer Serpentine Delay Line Fang-Lin Chao * Chaoyang University of Technology Taichung, Taiwan

More information

t Microprocessor Research Laboratories, Intel Corporation, Hillsboro, OR

t Microprocessor Research Laboratories, Intel Corporation, Hillsboro, OR AN ENERGY-EFFICIENT LEAKAGE-TOLERANT DYNAMIC CIRCUIT TECHNIQUE Lei Wang, Ram K. Krishnamurthyt, K. Soumyanatht, and Naresh R. Shanbhag Coordinated Science Laboratory, Department of Electrical and Computer

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

EE301 Electronics I , Fall

EE301 Electronics I , Fall EE301 Electronics I 2018-2019, Fall 1. Introduction to Microelectronics (1 Week/3 Hrs.) Introduction, Historical Background, Basic Consepts 2. Rewiev of Semiconductors (1 Week/3 Hrs.) Semiconductor materials

More information