ICCAD 2014 Contest Incremental Timing-driven Placement: Timing Modeling and File Formats v1.1 April 14 th, 2014

Size: px
Start display at page:

Download "ICCAD 2014 Contest Incremental Timing-driven Placement: Timing Modeling and File Formats v1.1 April 14 th, 2014"

Transcription

1 ICCAD 2014 Contest Incremental Timing-driven Placement: Timing Modeling and File Formats v1.1 April 14 th, contest.ee.ncu.edu.tw/cad-contest-at-iccad2014/problem b/ 1 Introduction This document outlines the timing modeling concepts and file formats for the timer necessary for the ICCAD 2014 timing-driven placement contest problem. The goal of this problem is to have participants drive placement based on timing information. Section 2 provide the background, motivation, and necessary conceptual understanding for Static Timing Analysis (STA). Section 3 will details about the timer, along with its input and output file formats. For contest logistics, deadlines and any other updates, please refer to the contest website stated above. 2 Static Timing Analysis (STA) Primary Inputs Primary Outputs Input Slew s ia A d A Y Output Slew s oy circuit Interconnect Circuit Element Input Slew s ib B d B Y Y Figure 1: Generic circuit (left) and delay model representation of a circuit element (right). A static timing analysis of a design typically provides a profile of the design s performance by measuring the timing propagation from inputs to outputs. This analysis provides a pessimistic bound, and thus facilitates further analysis of only problematic portions of the design. Section 2.1 will outline the terminology used in the remainder of the document, Sections 2.2 and 2.3 will describe delay modeling, and Section 2.4 will outline timing propagation. 1

2 Input Slew s ia A A d A Y Output Slew s oy A B Y Input Slew s ib B d B Y Y B C IA C IB Y C L Figure 2: Combinational OR gate (left), its timing model (center) and capacitances (right). 2.1 Definitions d A Timing analysis of a circuit computes the amount of time required for signals to propagate from primary inputs (PIs) to primary outputs (POs) d d Y through various circuit elements and interconnect. Signals arriving at an B input of an element will be available at its output(s) at some later time; each pin-to-pin connection therefore introduces a delay during signal propagation. For example, as shown in Figure 1 (right), the delay across the circuit element from input A to output Y is designated by d(a, Y ). A timing path is a set of directed connections through circuit elements and interconnect, and its delay is the sum of those components delays. A signal transition is characterized by its input slew and output slew, where slew is defined as the amount of time for the signal to transition from high-to-low or low-to-high. 1 For example, in Figure 1, the input slew at A is denoted by s i (A), and the output slew at Y by s o (Y ). To account for timing modeling limitations in considering design and electrical complexities, as well as multiple sources of variability, such as manufacturing variations, temperature fluctuation, voltage drops, and electromigration, timing analysis is typically done using an early-late split, where each circuit node has an early (lower) bound and a late (upper) bound on its time. 2 By convention, if the mode (early or late) is not explicitly specified, both modes should be considered. Both slew and delay are computed separately on early and late modes. For example, in early mode, an output slew s E o is computed using the input slew taken from the early mode, s E i, and, similarly, in late mode, the output slew s L o is computed using s L i. 2.2 Circuit Element Modeling In this section, we will divide our discussion into combinational and sequential circuit elements. Combinational elements. For a given combinational cell, e.g., OR gate, we let the delay d and output slew s o for a input/output pin-pair (see Figure 2) be d = a + b C L + c s i (1) s o = x + y C L + z s i (2) Here, a, b, c, x, y and z are cell-dependent constants, C L is the output load at the output pin, and s i is the input slew at the input pin. C L denotes the equivalent downstream capacitance 1 A low (high) signal is defined as 10% (90%) of the voltage. 2 This is commonly accomplished by derating an existing delay value (e.g., by ±5%). 2

3 FF 1 Combinational Logic FF 2 D Q D Q D Q Setup Hold Setup Hold d CK Q d comb Setup Hold d CK Q CK CLOCK l i CK l o CK Figure 3: Generic D flip-flop and its timing model (left), and two FFs in series and their timing models (right). seen from the output of pin of the cell. For simplicity, we assume C L to be the sum of all capacitances in the parasitic RC tree including cell pin capacitances (C I ) at the taps C L = k N C k (3) where N is the set of all nodes in the RC network. Following the example in Figure 4, the output load is (trivially) C L = C 1 + C 2 + C 3 + C 4 + C 5 (4) Sequential elements. Sequential circuits consist of combinational blocks interleaved by registers, usually implemented with flip-flops (FFs). Typically, sequential circuits are composed of several stages, where a register captures data from the outputs of a combinational block from a previous stage, and injects it into the inputs of the combinational block in the next stage. Register operation is synchronized by clock signals generated by one or multiple clock sources. Clock signals that reach distinct flip-flops, e.g., sinks in the clock tree, are delayed from the clock source by a clock latency l. A (D) flip-flop is a storage element that captures a given logic value at its input data pin D, when a given clock edge is detected at its clock pin CK, and subsequently presents the captured value and its complement at the output pins Q and Q. The flip-flop also enables asynchronous preset (set) and clear (reset) of the output pins through the respective S and R input pins. 3 Setup and hold constraints. Proper operation of a flip-flop requires the logic value of the input data pin to be stable for a specific period of time before the capturing clock edge in the current clock cycle. This period of time is designated by the setup time t setup. Additionally, the logic value of the input data pin must also be stable for a specific period of time after the capturing clock edge for the subsequent clock cycle. This period of time is designated by the hold time t hold. The flip-flop timing models are depicted in Figure 3 (left). 3 The complement, preset and clear signals are stated here for completeness. For the purposes of the contest, their behavior will be ignored. 3

4 Port Taps Input Slew s iz Output Slew s ot1 C 1 1 R D R C T 1 C 4 Z T 1 d Z T1 T 1 Z R A R B 3 C 3 T 2 Z d Z T2 Output Slew s ot2 T 2 2 T 2 C 2 R E C 5 Figure 4: Generic interconnect (left), its timing model (center) and RC network (right). Setup and hold constraints are respectively modeled as functions of the input slews at both the clock pin CK and the data input pin D as t setup = g + h s early ick + j slate id (5) t hold = m + n s late ick + p s early id (6) Here, g, h, j, m, n and p are flop-specific parameters, s ick is the input slew at CK, and s id is the input slew at D. 2.3 Interconnect Modeling The basic instance of interconnect (wire) is a net, which is assumed to have an input pin (P ort) and one or more output pins (T aps), as illustrated in Figure 4 (left). Parasitic RC trees only contain grounded capacitors and floating resistors (we will not include the discussion of coupling capacitors or grounded resistors). Delay. The computation of port-to-tap delays can be accurately performed through electrical simulation. However, and for the sake of simplicity (and speed), we will assume the simpler Elmore delay model [3], where the delay is approximated by the symmetric of the value of the rst moment of the impulse response. To compute the delay of RC tree networks, we summarize the topological method provided in [6]. In an RC network, consider any two nodes e and k. Let C k be the lumped capacitance at node k, and let R ke be the total resistance of the common path between the paths from P ort to e and P ort to k. For example, in Figure 4 (right), the resistance between nodes 1 and T 2 (R 1 T2 ) is R A, as that is the only common resistor between the paths Z to 1 and Z to T 2. The Elmore delay at node e is d e = k N R ke C k (7) where N is the set of all nodes in the RC network. For the example net illustrated in Figure 4 (right), the delay at node T 2 (tap) is d T2 = R A (C 1 + C 3 + C 4 ) + (R A + R B )C 2 + (R A + R B + R E )C 5 (8) 4

5 1 T 1 Z C 1 d 1 R A R B 3 R C R D C 3 d 3 C 4 d 4 C 2 d 2 2 R E T 2 C 5 d 5 Figure 5: Modified RC network for output slew calculation. Output slew. The value of the output slew (s o ) on any given tap node T can be approximated by a two-step process. First, compute the output slew of the impulse response on T, which was observed [3, 4] to be well-approximated by 2 ŝ ot 2β T d T (9) where β T is the second moment of the input response at node T, and d T is the corresponding Elmore delay from Equation 7. Second, compute the slew of the response to the input ramp by the expression given in [5] s ot s i2 + ŝ 2 ot (10) where s i is the input slew. The value of β T can be computed through the efficient path-tracing algorithm for moment computation proposed in [7], which is a generalization of the algorithm proposed in [3]. To calculate β T, first replace all capacitance values C k in the RC network by C k d k, where d k is the Elmore delay from Equation 7 (see Figure 5). Second, follow the same procedure as before for finding β e β e = R ke C k d k (11) k N Following the example in Figure 5, at node T 2, we have β T2 = R A (C 1 d 1 + C 3 d 3 + C 4 d 4 ) + (R A + R B )C 2 d 2 + (R A + R B + R E )C 5 d 5 (12) 2.4 Timing Propagation Starting from the primary input(s), the instant that a signal reaches an input or output of a circuit element is quantified as the arrival time (at). Similarly, starting from the primary output(s), the limits imposed for each arrival time to ensure proper circuit operation is quantified as the required arrival time (rat). Given an arrival time and a required arrival time, the slack at a circuit node quantifies how well timing constraints are met. That is, a positive slack means the required time is satisfied, and a negative slack means the required time is in violation. 5

6 Actual arrival time. Starting from the primary inputs, arrival times (at) are computed by adding delays across a path, and performing the minimum (in early mode) or maximum (in late mode) of such accumulated times at a convergence point. This establishes bounds on the time that a signal transition can reach any given circuit node. For example, let at E (A) and at E (B) denote the early arrival times at pins A and B, respectively, in Figure 1 (right). The most pessimistic early mode arrival time at the output pin Y is: at E (Y ) = min ( at E (A) + d E (A, Y ), at E (B) + d E (B, Y ) ). (13) Conversely, in late mode, the latest time that a signal transition can reach any given circuit node is computed. Following the same example in Figure 1 (right), the most pessimistic late mode arrival time at Y is: at L (Y ) = max ( at L (A) + d L (A, Y ), at L (B) + d L (B, Y ) ). (14) Required arrival time. Starting from the primary outputs, required arrival times (rat) are computed by subtracting the delays across a path, and performing the maximum (minimum) in early (late) mode of such accumulated times at a convergence point. That is, in early mode, the earliest time that a signal transition must reach any circuit node is computed. For example, in Figure 4, the most pessimistic early mode required arrival time at the output pin Y is: rat E (Y ) = max ( rat E (A) d E (Y, A), rat E (B) d E (Y, B) ). (15) Conversely, in late mode, the earliest time that a signal transition must reach a given circuit node is computed. Following the example in Figure 4, the most pessimistic late mode required arrival time at the input pin Y is: rat L (Y ) = min ( rat L (A) d L (Y, A), rat L (B) d L (Y, B) ). (16) Slack. For proper circuit operation, the following conditions must be met: at E rat E, (17) at L rat L. (18) To quantify how well timing constraints are met at each circuit node, slacks (slack) can be computed based on the aforementioned conditions. That is, slacks are positive when the required times are met, and negative otherwise. slack E = at E rat E (19) slack L = rat L at L (20) 6

7 Slew propagation. As circuit element delays and interconnect delays are functions of input slew (s i ), subsequent output slew (s o ) must be propagated. One approach to slew propagation at a convergence point is worst-slew propagation, wherein the smallest (largest) slew in early (late) mode is propagated. Following the example in Figure 1 (right), the early and late output slew at output pin Y, respectively, are: s E o (Y ) = min ( s E o (A, Y ), s E o (B, Y ) ), (21) s L o (Y ) = max ( s L o (A, Y ), s L o (B, Y ) ), (22) where s E o (A, Y ) is a function of s E i (A), s E o (B, Y ) is a function of s E i (B), s L o (A, Y ) is a function of s L i (A), and s L o (B, Y ) is a function of s L i (B). Sequential signal propagation. Signal transition between two flip-flops is illustrated in Figure 3 (right). Assuming that the clock edge is generated at the source at time 0, it reaches the injecting (launching) flip-flop F F 1 at time d(clock, F F 1 :CK), making the data available at the input of the combinational block d(f F 1 :CK, F F 1 :Q) time later. If the propagation delay in the combinational block is d(comb), then the data is available at the input of the capturing flip-flop F F 2 at time: d(clock, F F 1 :CK) + d(f F 1 :CK, F F 1 :Q) + d(comb). Assuming the clock period to be a constant P, the next clock edge reaches F F 2 at time P + d(clock, F F 2 :CK). For correct operation, the data must be be available at the input pin (F F 2 :D) t S time units before the next clock edge. Therefore, the late arrival time and the late required arrival time at the data pin are: at L (F F 2 :D) = d L (CLOCK, F F 1 :CK) + d L (F F 1 :CK, F F 1 :Q) + d L (comb), rat Setup (F F 2 :D) = rat L (F F 2 :D) (23) = P + at E (F F 2 :CK) t S (24) = P + d E (CLOCK, F F 2 :CK) t S. A similar condition is derived for ensuring that the hold time is respected. The data input pin D of F F 2 must remain stable for at least t H time after the clock edge reaches the corresponding CK pin. Therefore, the early arrival time and early required arrival time at the data pin are: at E (F F 2 :D) = d E (CLOCK, F F 1 :CK) + d E (F F 1 :CK, F F 1 :Q) + d E (comb), rat Hold (F F 2 :D) = rat E (F F 2 :D) = at L (F F 2 :CK) + t H = d L (CLOCK, F F 2 :CK) + t H. The aforementioned arrival times from Equations (23) and (25) and required arrival times from Equations (24) and (26) induce hold and setup slacks, which are derived from Equations (19) and (20), respectively. 7 (25) (26)

8 3 Timer The timer used in the ICCAD 2014 Timing-driven Placement Contest is the winner from the TAU 2013 Variation Aware Timing Contest. IITimer takes in two input files and produce one output file, all of which follow the same format as the TAU 2013 Contest [2]. Its usage is defined as follows: timer <cell library> <netlist file> <output file> 3.1 Input Files There are two input files: (i) cell library (.lib), and (ii) a netlist (.net). The formats are adapted from the TAU 2013 Contest document. As we will not be exercising the statistical capabilities for this contest, all sensitivities will be 0. Cell Library. The cell library file contains the timing information of each cell, and follows the format below. metal <sigma corner> <resistance scale factor> <capacitance scale factor>... cell <cell name> pin <pin name> input <fall capacitance> <rise capacitance> pin <pin name> output pin <pin name> clock <fall capacitance> <rise capacitance>... timing <input pin name> <output pin name> <timing sense> \ <fall slew> <rise slew> <fall delay> <rise delay> setup <clock pin name> <input pin name> <edge type> \ <fall constraint> <rise constraint> hold <clock pin name> <input pin name> <edge type> \ <fall constraint> <rise constraint> preset <input pin name> <output pin name> <edge type> <slew> <delay> clear <input pin name> <output pin name> <edge type> <slew> <delay> For all lines that start with metal, assume that every corner will be set with nominal values, e.g., metal or metal The equations to calculate delay, output slew, setup and hold constraint times are reproduced for convenience. d = a + b C L + c s i (27) s o = x + y C L + z s i t setup = g + h s early ick + j slate id t hold = m + n s late ick + p s early id 8

9 Keywords: metal: metal parameter scalars at given sigma corner. cell: start of cell definition. pin: start of pin definition. input: output and clock: pin type. timing: delay. setup: setup time. hold: hold time. preset: preset time (output node will be set to high). clear: clear time (output node will be set to low low). Variable fields: <sigma corner> is the sigma corner value (σ) of metal parameter M for which resistance and capacitance scale factors are provided. <resistance scale factor> is the value (m σ R ) by which the nominal interconnect resistance provided in the netlist should be scaled at the given metal sigma corner. <capacitance scale factor> is the value (m σ C ) by which the nominal interconnect capacitance provided in the netlist should be scaled at the given metal sigma corner. <cell name> is the name of the cell, of up to 32 characters in length, which can contain only alphanumeric characters (the first character must be a letter). <pin name> is the name of a pin of the cell, of up to 32 characters in length, which can contain only alphanumeric characters (the first character must be a letter). <fall capacitance> and <rise capacitance> are values of the pin s input capacitances in Farad, for rise/fall transitions, represented in scientific notation. <input pin name>, <output pin name> and <clock pin name> are the names of the input, output and clock pins of a given delay or constraint specification, of up to 32 characters in length, which can contain only alphanumeric characters (the first character must be a letter). <timing sense>, can be one of: positive unate, transition direction is maintained from input to output (rise rise, fall fall). 9

10 negative unate, transition direction is reversed from input to output (rise fall, fall rise). non unate, transition direction cannot be inferred from a single input (take the worst, among rise/fall). <slew>, <fall slew>, <rise slew>, are each given by 9 real numbers separated by white spaces, where the first three correspond to the parameters x, y, z of Equation 2, and the last six is assumed to be 0. (fall/rise refers to the transition direction in the output pin). <delay>, <fall delay> and <rise delay>, are each given by 9 real numbers separated by white spaces, where the first three correspond to the parameters a, b, c of Equation 1, and the last six is assumed to be 0. (fall/rise refers to the transition direction in the output pin). <edge type>, can be one of: falling, constraint applies to the falling clock edge; rising, constraint applies to the rising clock edge; <fall constraint> and <rise constraint>, are each given by 3 real numbers separated by white spaces, which correspond to the parameters g, h and j of Equation 5, or m, n and p of Equation 6, if we are dealing with setup constraints or hold constraints, respectively. The preset and clear values are presented for completeness, and should be ignored for the timing analysis task proposed in this contest. Netlist file. The netlist file contains the description of the circuit topology, and follows the format below. input <node> output <node> instance <cell name> <pin name>:<node>... <pin name>:<node> wire <port node> <tap node>... <tap node> res <node> <node> <resistance>... cap <node> <capacitance>... slew <node> <slew fall> <slew rise> clock <node> <period> at <node> <at fall early> <at fall late> <at rise early> <at rise late> rat <node> <mode of operation> <rat fall> <rat rise> 10

11 Keywords: input: primary input node. output: primary output node. instance: cell instance. wire: interconnect net. res, cap: resistor and capacitor of a parasitic RC tree (can appear in any order). slew: input slew at the primary inputs. clock: clock input information (node and period). at: arrival time constraint (only used for primary input nodes). rat: required arrival time constraint. Variable fields: <node>, <port node> and <tap node> are node names, of up to 64 characters in length, which can contain alphanumeric characters, the underscore or the dash (the first character must be a letter). <cell name> is the name of the library cell (exactly as it will appear in the cell library file), of up to 32 characters in length, which can contain only alphanumeric characters (the first character must be a letter). <pin name> is the name of a pin of the cell (exactly as it will appear in the cell library file), of up to 32 characters in length, which can contain only alphanumeric characters. <resistance> is the value of the resistance in Ohm, represented in scientific notation. <capacitance> is the value of the capacitance in Farad, represented in scientific notation. <slew fall> and <slew rise> are the fall and rise slews for the corresponding primary input, in seconds, represented in scientific notation. Early and late slews at the inputs are assumed to be identical. <period> is the clock period in seconds, represented in scientific notation. <at fall early>, <at fall late>, <at rise early> and <at rise late> are real numbers, represented in scientific notation, which represent arrival time constraints for fall/rise transitions in early/late mode, at the primary inputs, in seconds. <mode of operation> is the mode of operation and can be either early or late. 11

12 <rat fall> and <rat rise> are real numbers, represented in scientific notation, which represent required arrival time constraints for rise/fall transitions and early/late mode, in seconds. If no input slew is defined for any given primary input, it should be assumed to be 1e-12, for both fall and rise transitions. 3.2 Output File The result of the timing analysis of a circuit will be produced in the specified output file format. It consists of two consecutive sets of lines, which will list timing quantities like arrival times, slews and slacks. The first set of lines will consist of a list of lines, starting with the at keyword, one per primary output node, containing the node name followed by the corresponding early and late arrival times, as well as the early and late slews. This list of nodes should be ordered lexicographically in ascending order (using the ASCII code ordering sequence). The second set of lines will consist of a list of lines, starting with the slack keyword, one per required arrival time constraint, followed by the node name and either the early or late keyword, indicating either early or late mode, respectively. Finally, the value of the slack should be printed. This list of nodes should also be ordered lexicographically in ascending order (using the ASCII code ordering sequence). The early slack will appear before the late slack, for nodes where both exist. Slacks are induced either by explicit required arrival time constraints defined in the netlist file, or by implicit setup and hold constraints, that must be considered for every flip-flop in the circuit. The slacks (early, late, or both) should therefore be reported for all the nodes in the fanin cone of any given node for which an explicit or implicit required arrival time constraint must be considered. All numerical results will be given in seconds and printed in scientific notation, with 5 decimal places (e.g e-10). All keywords and variable fields should be separated by a white space. at <node> <at early fall> <at early rise> <at late fall> <at late rise> <slew early fall> <slew early rise> <slew late fall> <slew late rise>... slack <node> early <slack early fall> <slack early rise> slack <node> late <slack late fall> <slack late rise>... 12

13 4 Updates v1.1 April 14 th, 2014 changed Equation 9 to minus. v1.0 March 11 th, 2014 initial version. 13

14 References [1] J. Hu, D. Sinha and I. Keller, TAU 2014 Contest on Removing Common Path Pessimism during Timing Analysis, to appear at ISPD, [2] D. Sinha, L. Guerra e Silva, J. Wang, S. Raghunathan, D. Netrabile and A. Shebaita, TAU 2013 variation aware timing analysis contest, ISPD, 2013, pp [3] W. C. Elmore, The Transient Response of Damped Linear Networks with Particular Regard to Wide-band Ampliers, Journal of Applied Physics, 19(1)(1948), pp [4] R. Gupta, B. Tutuianu and L. T. Pileggi, The Elmore Delay as a Bound for RC Trees with Generalized Input Signals, IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems, 16(1)(1997), pp [5] C. V. Kashyap, C. J. Alpert, F. Liu and A. Devgan, Closed-form Expressions for Extending Step Delay and Slew Metrics to Ramp Inputs for RC Trees, IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems, 23(4)(2004), pp [6] P. Penfield Jr. and J. Rubinstein, Signal Delay in RC Tree Networks, Proc. Design Automation Conference, 1981, pp [7] C. L. Ratzlaff and L. T. Pillage, RICE: Rapid Interconnect Circuit Evaluation Using AWE, IEEE Transactions on Computer-aided Design of Integrated Circuits and Systems, 13(6)(1994), pp

TAU 2017 Contest Timing Macro Modeling. Contest Education. v1.0 August 15 th, https://sites.google.com/site/taucontest2017

TAU 2017 Contest Timing Macro Modeling. Contest Education. v1.0 August 15 th, https://sites.google.com/site/taucontest2017 TAU 2017 Contest Timing Macro Modeling Contest Education v1.0 August 15 th, 2016 https://sites.google.com/site/taucontest2017 Contents Introduction 2 1 Static Timing Analysis (STA) 2 1.1 Timing Propagation

More information

TFA: A Threshold-Based Filtering Algorithm for Propagation Delay and Output Slew Calculation of High-Speed VLSI Interconnects

TFA: A Threshold-Based Filtering Algorithm for Propagation Delay and Output Slew Calculation of High-Speed VLSI Interconnects TFA: A Threshold-Based Filtering Algorithm for Propagation Delay and Output Slew Calculation of High-Speed VLSI Interconnects S. Abbaspour, A.H. Ajami *, M. Pedram, and E. Tuncer * Dept. of EE Systems,

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Lecture 13: Timing revisited

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Lecture 13: Timing revisited EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 13: Timing revisited Announcements Homework 2 due today Quiz #2 on Monday Midterm project report due next Wednesday 2 1 Outline Last lecture

More information

A Brief History of Timing

A Brief History of Timing A Brief History of Timing David Hathaway February 28, 2005 Tau 2005 February 28, 2005 Outline Snapshots from past Taus Delay modeling Timing analysis Timing integration Future challenges 2 Tau 2005 February

More information

Tiago Reimann Cliff Sze Ricardo Reis. Gate Sizing and Threshold Voltage Assignment for High Performance Microprocessor Designs

Tiago Reimann Cliff Sze Ricardo Reis. Gate Sizing and Threshold Voltage Assignment for High Performance Microprocessor Designs Tiago Reimann Cliff Sze Ricardo Reis Gate Sizing and Threshold Voltage Assignment for High Performance Microprocessor Designs A grain of rice has the price of more than a 100 thousand transistors Source:

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Accurate and Efficient Macromodel of Submicron Digital Standard Cells

Accurate and Efficient Macromodel of Submicron Digital Standard Cells Accurate and Efficient Macromodel of Submicron Digital Standard Cells Cristiano Forzan, Bruno Franzini and Carlo Guardiani SGS-THOMSON Microelectronics, via C. Olivetti, 2, 241 Agrate Brianza (MI), ITALY

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

Andrew Clinton, Matt Liberty, Ian Kuon

Andrew Clinton, Matt Liberty, Ian Kuon Andrew Clinton, Matt Liberty, Ian Kuon FPGA Routing (Interconnect) FPGA routing consists of a network of wires and programmable switches Wire is modeled with a reduced RC network Drivers are modeled as

More information

ECE 551: Digital System Design & Synthesis

ECE 551: Digital System Design & Synthesis ECE 551: Digital System Design & Synthesis Lecture Set 9 9.1: Constraints and Timing 9.2: Optimization (In separate file) 03/30/03 1 ECE 551 - Digital System Design & Synthesis Lecture 9.1 - Constraints

More information

Introduction. Timing Verification

Introduction. Timing Verification Timing Verification Sungho Kang Yonsei University YONSEI UNIVERSITY Outline Introduction Timing Simulation Static Timing Verification PITA Conclusion 2 1 Introduction Introduction Variations in component

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

A Bottom-Up Approach to on-chip Signal Integrity

A Bottom-Up Approach to on-chip Signal Integrity A Bottom-Up Approach to on-chip Signal Integrity Andrea Acquaviva, and Alessandro Bogliolo Information Science and Technology Institute (STI) University of Urbino 6029 Urbino, Italy acquaviva@sti.uniurb.it

More information

Sequential Logic Circuits

Sequential Logic Circuits Exercise 2 Sequential Logic Circuits 1 - Introduction Goal of the exercise The goals of this exercise are: - verify the behavior of simple sequential logic circuits; - measure the dynamic parameters of

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

HIGH LOW Astable multivibrators HIGH LOW 1:1

HIGH LOW Astable multivibrators HIGH LOW 1:1 1. Multivibrators A multivibrator circuit oscillates between a HIGH state and a LOW state producing a continuous output. Astable multivibrators generally have an even 50% duty cycle, that is that 50% of

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

Spec. Instructor: Center

Spec. Instructor: Center PDHonline Course E379 (5 PDH) Digital Logic Circuits Volume III Spec ial Logic Circuits Instructor: Lee Layton, P.E 2012 PDH Online PDH Center 5272 Meadow Estatess Drive Fairfax, VA 22030-6658 Phone &

More information

Digital Logic Circuits

Digital Logic Circuits Digital Logic Circuits Let s look at the essential features of digital logic circuits, which are at the heart of digital computers. Learning Objectives Understand the concepts of analog and digital signals

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Amber Path FX is a trusted analysis solution for designers trying to close on power, performance, yield and area in 40 nanometer processes

More information

Lecture 02: Digital Logic Review

Lecture 02: Digital Logic Review CENG 3420 Lecture 02: Digital Logic Review Bei Yu byu@cse.cuhk.edu.hk CENG3420 L02 Digital Logic. 1 Spring 2017 Review: Major Components of a Computer CENG3420 L02 Digital Logic. 2 Spring 2017 Review:

More information

Arithmetic Structures for Inner-Product and Other Computations Based on a Latency-Free Bit-Serial Multiplier Design

Arithmetic Structures for Inner-Product and Other Computations Based on a Latency-Free Bit-Serial Multiplier Design Arithmetic Structures for Inner-Product and Other Computations Based on a Latency-Free Bit-Serial Multiplier Design Steve Haynal and Behrooz Parhami Department of Electrical and Computer Engineering University

More information

Lecture 4&5 CMOS Circuits

Lecture 4&5 CMOS Circuits Lecture 4&5 CMOS Circuits Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese566/ Worst-Case V OL 2 3 Outline Combinational Logic (Delay Analysis) Sequential Circuits

More information

8-Bit, high-speed, µp-compatible A/D converter with track/hold function ADC0820

8-Bit, high-speed, µp-compatible A/D converter with track/hold function ADC0820 8-Bit, high-speed, µp-compatible A/D converter with DESCRIPTION By using a half-flash conversion technique, the 8-bit CMOS A/D offers a 1.5µs conversion time while dissipating a maximum 75mW of power.

More information

ASPDAC Tutorial: Power, Timing & Signal Integrity in SoC designs Section II

ASPDAC Tutorial: Power, Timing & Signal Integrity in SoC designs Section II ASPDAC Tutorial: Power, Timing & Signal Integrity in SoC designs Section II Strategic CAD, Intel Labs Chandler AZ eli.chiprout chiprout@intel.com Section II: Modeling, noise, timing The goals of this section

More information

Sequential Logic Circuits

Sequential Logic Circuits LAB EXERCISE - 5 Page 1 of 6 Exercise 5 Sequential Logic Circuits 1 - Introduction Goal of the exercise The goals of this exercise are: - verify the behavior of simple sequential logic circuits; - measure

More information

On Built-In Self-Test for Adders

On Built-In Self-Test for Adders On Built-In Self-Test for s Mary D. Pulukuri and Charles E. Stroud Dept. of Electrical and Computer Engineering, Auburn University, Alabama Abstract - We evaluate some previously proposed test approaches

More information

Digital Electronics Course Objectives

Digital Electronics Course Objectives Digital Electronics Course Objectives In this course, we learning is reported using Standards Referenced Reporting (SRR). SRR seeks to provide students with grades that are consistent, are accurate, and

More information

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers Accurate Timing and Power Characterization of Static Single-Track Full-Buffers By Rahul Rithe Department of Electronics & Electrical Communication Engineering Indian Institute of Technology Kharagpur,

More information

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018 UNIVERSITY OF BOLTON [EES04] SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018 INTERMEDIATE DIGITAL ELECTRONICS AND COMMUNICATIONS MODULE NO: EEE5002

More information

HIGH-performance microprocessors employ advanced circuit

HIGH-performance microprocessors employ advanced circuit IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 5, MAY 1999 645 Timing Verification of Sequential Dynamic Circuits David Van Campenhout, Student Member, IEEE,

More information

Chapter 2 Signal Conditioning, Propagation, and Conversion

Chapter 2 Signal Conditioning, Propagation, and Conversion 09/0 PHY 4330 Instrumentation I Chapter Signal Conditioning, Propagation, and Conversion. Amplification (Review of Op-amps) Reference: D. A. Bell, Operational Amplifiers Applications, Troubleshooting,

More information

Timing Verification of Sequential Domino Circuits

Timing Verification of Sequential Domino Circuits Timing Verification of Sequential Domino Circuits David Van Campenhout, Trevor Mudge, and Karem A. Sakallah Advanced Computer Architecture Laboratory EECS Department, University of Michigan Ann Arbor,

More information

Managing Metastability with the Quartus II Software

Managing Metastability with the Quartus II Software Managing Metastability with the Quartus II Software 13 QII51018 Subscribe You can use the Quartus II software to analyze the average mean time between failures (MTBF) due to metastability caused by synchronization

More information

Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction

Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction Bruce Tseng Faraday Technology Cor. Hsinchu, Taiwan Hung-Ming Chen Dept of EE National Chiao Tung U. Hsinchu, Taiwan April 14, 2008

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

I Clock Constraints I Tp 2 w (1) T, - Tp 2 w

I Clock Constraints I Tp 2 w (1) T, - Tp 2 w Identification of Critical Paths in Circuits with Level-Sensitive Latches Timothy M. Burks Karem A. Sakallah Trevor N. Mudge The University of Michigan Abstract This paper describes an approach to timing

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002 Overview ECE 3: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic and Fault Modeling Motivation Logic Modeling Model types Models at different levels of abstractions Models and definitions Fault Modeling

More information

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing CS250 VLSI Systems Design Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing Fall 2010 Krste Asanovic, John Wawrzynek with John Lazzaro and Yunsup Lee (TA) What do Computer

More information

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute.  From state elements ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: April 2, 2019 Sequential Logic, Timing Hazards and Dynamic Logic Lecture Outline! Sequential Logic! Timing Hazards! Dynamic Logic 4 Sequential

More information

SUBTHRESHOLD DESIGN SPACE EXPLORATION FOR GAUSSIAN NORMAL BASIS MULTIPLIER

SUBTHRESHOLD DESIGN SPACE EXPLORATION FOR GAUSSIAN NORMAL BASIS MULTIPLIER SUBTHRESHOLD DESIGN SPACE EXPLORATION FOR GAUSSIAN NORMAL BASIS MULTIPLIER H. Kanitkar and D. Kudithipudi Department of Computer Engineering, Rochester Institute of Technology, Rochester, NY-14623 Email:

More information

ISSN: [Pandey * et al., 6(9): September, 2017] Impact Factor: 4.116

ISSN: [Pandey * et al., 6(9): September, 2017] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY A VLSI IMPLEMENTATION FOR HIGH SPEED AND HIGH SENSITIVE FINGERPRINT SENSOR USING CHARGE ACQUISITION PRINCIPLE Kumudlata Bhaskar

More information

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Cao Cao and Bengt Oelmann Department of Information Technology and Media, Mid-Sweden University S-851 70 Sundsvall, Sweden {cao.cao@mh.se}

More information

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Towards PVT-Tolerant Glitch-Free Operation in FPGAs Towards PVT-Tolerant Glitch-Free Operation in FPGAs Safeen Huda and Jason H. Anderson ECE Department, University of Toronto, Canada 24 th ACM/SIGDA International Symposium on FPGAs February 22, 2016 Motivation

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

Fast Statistical Timing Analysis By Probabilistic Event Propagation

Fast Statistical Timing Analysis By Probabilistic Event Propagation Fast Statistical Timing Analysis By Probabilistic Event Propagation Jing-Jia Liou, Kwang-Ting Cheng, Sandip Kundu, and Angela Krstić Electrical and Computer Engineering Department, University of California,

More information

Module 1: Introduction to Experimental Techniques Lecture 2: Sources of error. The Lecture Contains: Sources of Error in Measurement

Module 1: Introduction to Experimental Techniques Lecture 2: Sources of error. The Lecture Contains: Sources of Error in Measurement The Lecture Contains: Sources of Error in Measurement Signal-To-Noise Ratio Analog-to-Digital Conversion of Measurement Data A/D Conversion Digitalization Errors due to A/D Conversion file:///g /optical_measurement/lecture2/2_1.htm[5/7/2012

More information

Logic Families. Describes Process used to implement devices Input and output structure of the device. Four general categories.

Logic Families. Describes Process used to implement devices Input and output structure of the device. Four general categories. Logic Families Characterizing Digital ICs Digital ICs characterized several ways Circuit Complexity Gives measure of number of transistors or gates Within single package Four general categories SSI - Small

More information

ENGIN 112 Intro to Electrical and Computer Engineering

ENGIN 112 Intro to Electrical and Computer Engineering ENGIN 112 Intro to Electrical and Computer Engineering Lecture 28 Timing Analysis Overview Circuits do not respond instantaneously to input changes Predictable delay in transferring inputs to outputs Propagation

More information

A Novel Latch design for Low Power Applications

A Novel Latch design for Low Power Applications A Novel Latch design for Low Power Applications Abhilasha Deptt. of Electronics and Communication Engg., FET-MITS Lakshmangarh, Rajasthan (India) K. G. Sharma Suresh Gyan Vihar University, Jagatpura, Jaipur,

More information

16.2 DIGITAL-TO-ANALOG CONVERSION

16.2 DIGITAL-TO-ANALOG CONVERSION 240 16. DC MEASUREMENTS In the context of contemporary instrumentation systems, a digital meter measures a voltage or current by performing an analog-to-digital (A/D) conversion. A/D converters produce

More information

CS/EE Homework 9 Solutions

CS/EE Homework 9 Solutions S/EE 260 - Homework 9 Solutions ue 4/6/2000 1. onsider the synchronous ripple carry counter on page 5-8 of the notes. Assume that the flip flops have a setup time requirement of 2 ns and that the gates

More information

A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms *

A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms * A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms * Hanif Fatemi Shahin Nazarian Massoud Pedram EE-Systems Dept., University of Southern California Los Angeles, CA

More information

Test Automation - Automatic Test Generation Technology and Its Applications

Test Automation - Automatic Test Generation Technology and Its Applications Test Automation - Automatic Test Generation Technology and Its Applications 1. Introduction Kwang-Ting (Tim) Cheng and Angela Krstic Department of Electrical and Computer Engineering University of California

More information

DIGITAL ELECTRONICS: LOGIC AND CLOCKS

DIGITAL ELECTRONICS: LOGIC AND CLOCKS DIGITL ELECTRONICS: LOGIC ND CLOCKS L 9 INTRO: INTRODUCTION TO DISCRETE DIGITL LOGIC, MEMORY, ND CLOCKS GOLS In this experiment, we will learn about the most basic elements of digital electronics, from

More information

CMOS VLSI Design (A3425)

CMOS VLSI Design (A3425) CMOS VLSI Design (A3425) Unit V Dynamic Logic Concept Circuits Contents Charge Leakage Charge Sharing The Dynamic RAM Cell Clocks and Synchronization Clocked-CMOS Clock Generation Circuits Communication

More information

Chapter 3 Chip Planning

Chapter 3 Chip Planning Chapter 3 Chip Planning 3.1 Introduction to Floorplanning 3. Optimization Goals in Floorplanning 3.3 Terminology 3.4 Floorplan Representations 3.4.1 Floorplan to a Constraint-Graph Pair 3.4. Floorplan

More information

an Intuitive Logic Shifting Heuristic for Improving Timing Slack Violating Paths

an Intuitive Logic Shifting Heuristic for Improving Timing Slack Violating Paths an Intuitive Logic Shifting Heuristic for Improving Timing Slack Violating Paths Xing Wei, Wai-Chung Tang, Yu-Liang Wu Department of Computer Science and Engineering The Chinese University of Hong Kong

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Interconnect Delay Compensation in Timing Analysis for. Designs Containing Multiple Voltage Domains

Interconnect Delay Compensation in Timing Analysis for. Designs Containing Multiple Voltage Domains Interconnect Delay Compensation in Timing Analysis for Designs Containing Multiple oltage Domains Incentia Design Systems, Inc. 1. Introduction A timing signal may flow from one voltage domain to another

More information

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology UDC 621.3.049.771.14:621.396.949 A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology VAtsushi Tsuchiya VTetsuyoshi Shiota VShoichiro Kawashima (Manuscript received December 8, 1999) A 0.9

More information

Lossy Compression of Permutations

Lossy Compression of Permutations 204 IEEE International Symposium on Information Theory Lossy Compression of Permutations Da Wang EECS Dept., MIT Cambridge, MA, USA Email: dawang@mit.edu Arya Mazumdar ECE Dept., Univ. of Minnesota Twin

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

EC O4 403 DIGITAL ELECTRONICS

EC O4 403 DIGITAL ELECTRONICS EC O4 403 DIGITAL ELECTRONICS Asynchronous Sequential Circuits - II 6/3/2010 P. Suresh Nair AMIE, ME(AE), (PhD) AP & Head, ECE Department DEPT. OF ELECTONICS AND COMMUNICATION MEA ENGINEERING COLLEGE Page2

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

Gate Delay Estimation in STA under Dynamic Power Supply Noise

Gate Delay Estimation in STA under Dynamic Power Supply Noise Gate Delay Estimation in STA under Dynamic Power Supply Noise Takaaki Okumura *, Fumihiro Minami *, Kenji Shimazaki *, Kimihiko Kuwada *, Masanori Hashimoto ** * Development Depatment-, Semiconductor Technology

More information

EE382M VLSI- II. EDP- TC: Early Design Planning for Timing Closure. Spring Mark McDermoF. EE382M- 8 Class Notes

EE382M VLSI- II. EDP- TC: Early Design Planning for Timing Closure. Spring Mark McDermoF. EE382M- 8 Class Notes EE382M VLSI- II EDP- TC: Early Design Planning for Timing Closure Spring 2017 Mark McDermoF EE382M- 8 Class Notes Agenda: Early Design Planning for Timing Closure Basics of Timing EDP- TC What is It? EDP-

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

Testing Digital Systems II. Problem: Fault Diagnosis

Testing Digital Systems II. Problem: Fault Diagnosis Testing Digital Systems II Lecture : Logic Diagnosis Instructor: M. Tahoori Copyright 26, M. Tahoori TDSII: Lecture Problem: Fault Diagnosis test patterns Circuit Under Diagnosis (CUD) expected response

More information

Static Noise Analysis Methods and Algorithms

Static Noise Analysis Methods and Algorithms Static Noise Analysis Methods and Algorithms Final Survey Project Report 201C: Modeling of VLSI Circuits & Systems Amarnath Kasibhatla UID: 403662580 UCLA EE Department Email: amar@ee.ucla.edu Table of

More information

Studies of Timing Structural Properties for Early Evaluation of Circuit Design

Studies of Timing Structural Properties for Early Evaluation of Circuit Design Studies of Timing Structural Properties for Early Evaluation of Circuit Design Andrew B. Kahng CSE and ECE Departments, UCSD La Jolla, CA, USA 9293-114 abk@ucsd.edu Ryan Kastner, Stefanus Mantik, Majid

More information

Exercises: Fundamentals of Computer Engineering 1 PAGE: 1

Exercises: Fundamentals of Computer Engineering 1 PAGE: 1 Exercises: Fundamentals of Computer Engineering PAGE: Exercise Minimise the following using the laws of Boolean algebra. f = a + ab + ab.2 f ( ) ( ) ( ) 2 = c bd + bd + ac b + d + cd a + b + ad( b + c)

More information

Timing Issues in FPGA Synchronous Circuit Design

Timing Issues in FPGA Synchronous Circuit Design ECE 428 Programmable ASIC Design Timing Issues in FPGA Synchronous Circuit Design Haibo Wang ECE Department Southern Illinois University Carbondale, IL 62901 1-1 FPGA Design Flow Schematic capture HDL

More information

Emulating and Diagnosing IR-Drop by Using Dynamic SDF

Emulating and Diagnosing IR-Drop by Using Dynamic SDF Emulating and Diagnosing IR-Drop by Using Dynamic SDF Ke Peng *, Yu Huang **, Ruifeng Guo **, Wu-Tung Cheng **, Mohammad Tehranipoor * * ECE Department, University of Connecticut, {kpeng, tehrani}@engr.uconn.edu

More information

Logic Synthesis. Logic synthesis transforms RTL code into a gate-level netlist. RTL Verilog converted into Structural Verilog

Logic Synthesis. Logic synthesis transforms RTL code into a gate-level netlist. RTL Verilog converted into Structural Verilog Logic Synthesis Logic synthesis transforms RTL code into a gate-level netlist RTL Verilog converted into Structural Verilog Logic Synthesis - The process and steps Translation Check RTL for valid syntax

More information

Dynamic Threshold for Advanced CMOS Logic

Dynamic Threshold for Advanced CMOS Logic AN-680 Fairchild Semiconductor Application Note February 1990 Revised June 2001 Dynamic Threshold for Advanced CMOS Logic Introduction Most users of digital logic are quite familiar with the threshold

More information

A New and Accurate Interconnection Delay Time Evaluation in a general Tree Type Network.

A New and Accurate Interconnection Delay Time Evaluation in a general Tree Type Network. A New and Accurate Interconnection Delay Time Evaluation in a general Tree Type Network. D. DESCHACHT, C. DABRIN Laboratoire d Informatique, de Robotique et de Microélectronique UMR CNRS 998 Université

More information

Module 7-4 N-Area Reliability Program (NARP)

Module 7-4 N-Area Reliability Program (NARP) Module 7-4 N-Area Reliability Program (NARP) Chanan Singh Associated Power Analysts College Station, Texas N-Area Reliability Program A Monte Carlo Simulation Program, originally developed for studying

More information

Using IBIS Models for Timing Analysis

Using IBIS Models for Timing Analysis Application Report SPRA839A - April 2003 Using IBIS Models for Timing Analysis ABSTRACT C6000 Hardware Applications Today s high-speed interfaces require strict timings and accurate system design. To achieve

More information

CMOS Digital Integrated Circuits Analysis and Design

CMOS Digital Integrated Circuits Analysis and Design CMOS Digital Integrated Circuits Analysis and Design Chapter 8 Sequential MOS Logic Circuits 1 Introduction Combinational logic circuit Lack the capability of storing any previous events Non-regenerative

More information

IJMIE Volume 2, Issue 3 ISSN:

IJMIE Volume 2, Issue 3 ISSN: IJMIE Volume 2, Issue 3 ISSN: 2249-0558 VLSI DESIGN OF LOW POWER HIGH SPEED DOMINO LOGIC Ms. Rakhi R. Agrawal* Dr. S. A. Ladhake** Abstract: Simple to implement, low cost designs in CMOS Domino logic are

More information

Designing Information Devices and Systems II Fall 2017 Note 1

Designing Information Devices and Systems II Fall 2017 Note 1 EECS 16B Designing Information Devices and Systems II Fall 2017 Note 1 1 Digital Information Processing Electrical circuits manipulate voltages (V ) and currents (I) in order to: 1. Process information

More information

Microelectronics Journal

Microelectronics Journal Microelectronics Journal 43 (12) 119 127 Contents lists available at SciVerse ScienceDirect Microelectronics Journal journal homepage: www.elsevier.com/locate/mejo Utilizing interdependent timing constraints

More information

2014 Paper E2.1: Digital Electronics II

2014 Paper E2.1: Digital Electronics II 2014 Paper E2.1: Digital Electronics II Answer ALL questions. There are THREE questions on the paper. Question ONE counts for 40% of the marks, other questions 30% Time allowed: 2 hours (Not to be removed

More information

Analyzing Reconvergent Fanouts in Gate Delay Fault Simulation

Analyzing Reconvergent Fanouts in Gate Delay Fault Simulation Analyzing Reconvergent Fanouts in Gate Delay Fault Simulation Hillary Grimes and Vishwani D. Agrawal Dept. of ECE, Auburn University Auburn, AL 36849 grimehh@auburn.edu, vagrawal@eng.auburn.edu Abstract

More information

Body Voltage Estimation in Digital PD-SOI Circuits and Its Application to Static Timing Analysis

Body Voltage Estimation in Digital PD-SOI Circuits and Its Application to Static Timing Analysis 888 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 20, NO. 7, JULY 2001 Body Voltage Estimation in Digital PD-SOI Circuits and Its Application to Static Timing Analysis

More information

Linear & Digital IC Applications (BRIDGE COURSE)

Linear & Digital IC Applications (BRIDGE COURSE) G. PULLAIAH COLLEGE OF ENGINEERING AND TECHNOLOGY Accredited by NAAC with A Grade of UGC, Approved by AICTE, New Delhi Permanently Affiliated to JNTUA, Ananthapuramu (Recognized by UGC under 2(f) and 12(B)

More information

Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis

Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis Masanori Hashimoto Dept. Communications & Computer Engineering Kyoto University hasimoto@i.kyoto-u.ac.jp Yuji Yamada Dept. Communications

More information

Guaranteeing Silicon Performance with FPGA Timing Models

Guaranteeing Silicon Performance with FPGA Timing Models white paper Intel FPGA Guaranteeing Silicon Performance with FPGA Timing Models Authors Minh Mac Member of Technical Staff, Technical Services Intel Corporation Chris Wysocki Senior Manager, Software Englineering

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

(

( AN INTRODUCTION TO CAMAC (http://www-esd.fnal.gov/esd/catalog/intro/introcam.htm) Computer Automated Measurement And Control, (CAMAC), is a modular data handling system used at almost every nuclear physics

More information

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis Microcontroller Systems ELET 3232 Topic 13: Load Analysis 1 Objective To understand hardware constraints on embedded systems Define: Noise Margins Load Currents and Fanout Capacitive Loads Transmission

More information

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits Lec Sequential CMOS Logic Circuits Sequential Logic In Combinational Logic circuit Out Memory Sequential The output is determined by Current inputs Previous inputs Output = f(in, Previous In) The regenerative

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology. " Gate choice, logical optimization. " Fanin, fanout, Serial vs.

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology.  Gate choice, logical optimization.  Fanin, fanout, Serial vs. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Design Space Exploration Lec 18: March 28, 2017 Design Space Exploration, Synchronous MOS Logic, Timing Hazards 3 Design Problem Problem Solvable!

More information

Lab 7: DELTA AND SIGMA-DELTA A/D CONVERTERS

Lab 7: DELTA AND SIGMA-DELTA A/D CONVERTERS ANALOG & TELECOMMUNICATION ELECTRONICS LABORATORY EXERCISE 6 Lab 7: DELTA AND SIGMA-DELTA A/D CONVERTERS Goal The goals of this experiment are: - Verify the operation of a differential ADC; - Find the

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions

Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions L. Sterpone Dipartimento di Automatica e Informatica Politecnico di Torino, Torino, ITALY 1 Motivations

More information