Closed-Form Expressions for Interconnection Delay, Coupling, and Crosstalk in VLSI s

Size: px
Start display at page:

Download "Closed-Form Expressions for Interconnection Delay, Coupling, and Crosstalk in VLSI s"

Transcription

1 Closed-Form Expressions for Interconnection Delay, Coupling, and Crosstalk in VLSI s author Dr. Takayasu Sakurai Semiconductor Device Engnieering Laboratory, Toshiba Corporation, Tokoyo, Japan IEEE Transaction on Electron Devices, 1993, 40, presenter Moumita Jana 28 th February 2012

2 Introduction Interconnection delay, coupling, and crosstalk are important as the feature size of the line is miniaturized In modern VLSI design wires have become narrower resulting higher resistance Closely placed wires result capacitive coupling When one wire switches, it tends to bring its neighbor along with it on account of capacitive coupling and results crosstalk The crosstalk becomes eminent when mixed CMOS/ECL signals are used on a chip 2

3 RC Delay of Aluminum Interconnection An aluminum interconnection of 20 mm length and 0.5 mm width shows RC delay of 3 ns, assuming that its sheet resistance of 35 mω and capacitance of 0.11 ff/µm W h CMOS VLSI Design: A Circuit and System Perspective. Neil H.E. Weste and David M. Harris, 4 th ed This delay is about 40 times longer than an inverter switching time of same generation This delay is also about 5 times longer than an optimized buffer delay to drive the pure capacitance of the interconnection 3

4 Model of a RC System A Model and notation of an interconnection driven by a resistive voltage source and loaded with capacitor A) Circuit B) model of an RC interconnection Differential equation Using Heaviside s expansion theorem: The voltage waveform can be expressed as: (5) 4

5 Comparison Between Exact Values of K 1, σ 1 with Their Simple Formulas Exact value of K 1 vs. simple formula Exact value of σ 1 vs. simple formula The relative errors are less than 3% for K 1 and less than 4% for σ 1 5

6 Delay of RC Interconnection The delay t v is from t=0 to the time when the normalized voltage at the end point reaches v=v/e (6) Voltage waveform of distributed RC line Exact delay vs. simple formula The error is less than 3.5% of RC Elmore delay for a distributed RC line is not as accurate as (6) The transition time expression is 6

7 Coupling capacitance for three lines Coupling Capacitance Coupling capacitance for two lines Total capacitance for three lines Total capacitance for two lines Capacitance for single line: The relative errors of the formulas for C 3 and C 2 are less than 10% for 0.3<(T/H), (W/H)<10 and 0.5<(S/H)<10 The relative error of the formula for C 1 is less than 6% for 0.3<(T/H), (W/H)<30 7

8 Optimum Linewidth Two outer lines are driven by an inverted signal of the center line Center line feels an effective capacitance (C 20 +4C 21 ) RC delay in arbitrary unit becomes (C 20 +4C 21 )/(W/H) RC delay vs. linewidth Optimum linewidth vs. pitch of lines Optimum width is about half the pitch as long as the pitch is less than 4X the height 8

9 Relationship: Total & RC Interconnection Delay The total delay D TOT is the sum buffering delay D BUF and the RC interconnection delay Minimizing D TOT in terms of r t For 0.5 µm CMOS technology total delay reduced to The RC interconnection delay surmounts the buffering delay 9

10 RC Delay in a Bus Structure H, T, W, and S are assumed to be 1 µm in 1990 Sheet resistance is assumed 30 mω Feature size is scaled exponentially from 1 µm in 1990 to 0.25 µm in 2000 Chip side length is increased exponentially from 10 mm in 1990 to 25 mm in 2000 The unscaled interconnection scheme is the most attractive 10

11 Voltage Waveforms of Two Parallel RC Lines The basic differential equations which govern two capacitively coupled RC lines Simplified differential equation in terms of V + and V - 11

12 Closed-Form Expression for Voltage Waveform Closed-form expressions for the voltage waveform Simulated and calculated waveforms of capacitively coupled RC lines 12

13 Noise height induced by capacitive crosstalk Noise height V p is: For R t1 is zero and R t2 is V p becomes The maximum error is less than 3% of E 1 13

14 Conclusion Practical expressions are derived for RC interconnection delay and voltage waveform Simple formulas for coupling capacitances are proposed with error less than 15% for the practical range of parameters The optimum width to minimize a bus RC delay is calculated using those formulas RC delay for unscaled interconnection is effective in reducing RC delay Expression for peak-noise height for RC interconnection system is given The crosstalk effect is estimated by using the crosstalk formulas in mixed-signal VLSI design 14

15 15

Lecture 13: Interconnects in CMOS Technology

Lecture 13: Interconnects in CMOS Technology Lecture 13: Interconnects in CMOS Technology Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 10/18/18 VLSI-1 Class Notes Introduction Chips are mostly made of wires

More information

Step Response of RC Circuits

Step Response of RC Circuits EE 233 Laboratory-1 Step Response of RC Circuits 1 Objectives Measure the internal resistance of a signal source (eg an arbitrary waveform generator) Measure the output waveform of simple RC circuits excited

More information

Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling

Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 10, OCTOBER 2001 1587 Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling Takashi Sato, Member, IEEE, Dennis

More information

Interconnect. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Interconnect. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. Interconnect Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Introduction Chips are mostly made of wires called

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Chapter 4. Problems. 1 Chapter 4 Problem Set

Chapter 4. Problems. 1 Chapter 4 Problem Set 1 Chapter 4 Problem Set Chapter 4 Problems 1. [M, None, 4.x] Figure 0.1 shows a clock-distribution network. Each segment of the clock network (between the nodes) is 5 mm long, 3 µm wide, and is implemented

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

Bus Serialization for Reducing Power Consumption

Bus Serialization for Reducing Power Consumption Regular Paper Bus Serialization for Reducing Power Consumption Naoya Hatta, 1 Niko Demus Barli, 2 Chitaka Iwama, 3 Luong Dinh Hung, 1 Daisuke Tashiro, 4 Shuichi Sakai 1 and Hidehiko Tanaka 5 On-chip interconnects

More information

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting Jonggab Kil Intel Corporation 1900 Prairie City Road Folsom, CA 95630 +1-916-356-9968 jonggab.kil@intel.com

More information

Switching (AC) Characteristics of MOS Inverters. Prof. MacDonald

Switching (AC) Characteristics of MOS Inverters. Prof. MacDonald Switching (AC) Characteristics of MOS Inverters Prof. MacDonald 1 MOS Inverters l Performance is inversely proportional to delay l Delay is time to raise (lower) voltage at nodes node voltage is changed

More information

Applying Analog Techniques in Digital CMOS Buffers to Improve Speed and Noise Immunity

Applying Analog Techniques in Digital CMOS Buffers to Improve Speed and Noise Immunity C Analog Integrated Circuits and Signal Processing, 27, 275 279, 2001 2001 Kluwer Academic Publishers. Manufactured in The Netherlands. Applying Analog Techniques in Digital CMOS Buffers to Improve Speed

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

PROGRAMMABLE ASIC INTERCONNECT

PROGRAMMABLE ASIC INTERCONNECT PROGRAMMABLE ASIC INTERCONNECT The structure and complexity of the interconnect is largely determined by the programming technology and the architecture of the basic logic cell The first programmable ASICs

More information

A Bottom-Up Approach to on-chip Signal Integrity

A Bottom-Up Approach to on-chip Signal Integrity A Bottom-Up Approach to on-chip Signal Integrity Andrea Acquaviva, and Alessandro Bogliolo Information Science and Technology Institute (STI) University of Urbino 6029 Urbino, Italy acquaviva@sti.uniurb.it

More information

IEICE TRANS. FUNDAMENTALS, VOL.E86 A, NO.12 DECEMBER

IEICE TRANS. FUNDAMENTALS, VOL.E86 A, NO.12 DECEMBER IEICE TRANS. FUNDAMENTALS, VOL.E86 A, NO.12 DECEMBER 2003 2965 PAPER Special Section on VLSI Design and CAD Algorithms Crosstalk Noise Estimation for Generic RC Trees Masanori HASHIMOTO a), Regular Member,

More information

Digital logic families

Digital logic families Digital logic families Digital logic families Digital integrated circuits are classified not only by their complexity or logical operation, but also by the specific circuit technology to which they belong.

More information

43.2. Figure 1. Interconnect analysis using linear simulation and superposition

43.2. Figure 1. Interconnect analysis using linear simulation and superposition 43.2 Driver Modeling and Alignment for Worst-Case Delay Noise Supamas Sirichotiyakul, David Blaauw, Chanhee Oh, Rafi Levy*, Vladimir Zolotov, Jingyan Zuo Motorola Inc. Austin, TX, *Motorola Semiconductor

More information

THE power/ground line noise due to the parasitic inductance

THE power/ground line noise due to the parasitic inductance 260 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 2, FEBRUARY 1998 Noise Suppression Scheme for Gigabit-Scale and Gigabyte/s Data-Rate LSI s Daisaburo Takashima, Yukihito Oowaki, Shigeyoshi Watanabe,

More information

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis Microcontroller Systems ELET 3232 Topic 13: Load Analysis 1 Objective To understand hardware constraints on embedded systems Define: Noise Margins Load Currents and Fanout Capacitive Loads Transmission

More information

PROGRAMMABLE ASIC INTERCONNECT

PROGRAMMABLE ASIC INTERCONNECT ASICs...THE COURSE (1 WEEK) PROGRAMMABLE ASIC INTERCONNECT 7 Key concepts: programmable interconnect raw materials: aluminum-based metallization and a line capacitance of 0.2pFcm 1 7.1 Actel ACT Actel

More information

MM Stage Oscillator Divider

MM Stage Oscillator Divider MM5369 17 Stage Oscillator Divider General Description The MM5369 is a CMOS integrated circuit with 17 binary divider stages that can be used to generate a precise reference from commonly available high

More information

4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate

4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate 22 Annual Report 2010 - Solid-State Electronics Department 4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate Student Scientist in collaboration with R. Richter

More information

Parallel vs. Serial Inter-plane communication using TSVs

Parallel vs. Serial Inter-plane communication using TSVs Parallel vs. Serial Inter-plane communication using TSVs Somayyeh Rahimian Omam, Yusuf Leblebici and Giovanni De Micheli EPFL Lausanne, Switzerland Abstract 3-D integration is a promising prospect for

More information

CMOS Schmitt Trigger A Uniquely Versatile Design Component

CMOS Schmitt Trigger A Uniquely Versatile Design Component CMOS Schmitt Trigger A Uniquely Versatile Design Component INTRODUCTION The Schmitt trigger has found many applications in numerous circuits, both analog and digital. The versatility of a TTL Schmitt is

More information

Output Waveform Evaluation of Basic Pass Transistor Structure*

Output Waveform Evaluation of Basic Pass Transistor Structure* Output Waveform Evaluation of Basic Pass Transistor Structure* S. Nikolaidis, H. Pournara, and A. Chatzigeorgiou Department of Physics, Aristotle University of Thessaloniki Department of Applied Informatics,

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

LM2907/LM2917 Frequency to Voltage Converter

LM2907/LM2917 Frequency to Voltage Converter LM2907/LM2917 Frequency to Voltage Converter General Description The LM2907, LM2917 series are monolithic frequency to voltage converters with a high gain op amp/comparator designed to operate a relay,

More information

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology UDC 621.3.049.771.14:621.396.949 A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology VAtsushi Tsuchiya VTetsuyoshi Shiota VShoichiro Kawashima (Manuscript received December 8, 1999) A 0.9

More information

Maximizing Throughput Over Parallel Wire Structures in the Deep Submicrometer Regime

Maximizing Throughput Over Parallel Wire Structures in the Deep Submicrometer Regime 224 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 11, NO. 2, APRIL 2003 Maximizing Throughput Over Parallel Wire Structures in the Deep Submicrometer Regime Dinesh Pamunuwa, Li-Rong

More information

LVDS Flow Through Evaluation Boards. LVDS47/48EVK Revision 1.0

LVDS Flow Through Evaluation Boards. LVDS47/48EVK Revision 1.0 LVDS Flow Through Evaluation Boards LVDS47/48EVK Revision 1.0 January 2000 6.0.0 LVDS Flow Through Evaluation Boards 6.1.0 The Flow Through LVDS Evaluation Board The Flow Through LVDS Evaluation Board

More information

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit Available online www.ejaet.com European Journal of Advances in Engineering and Technology, 2017, 4 (5): 319-325 Research Article ISSN: 2394-658X Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

More information

Skin Effect: A Natural Phenomenon for Minimization of Ground Bounce in VLSI RC Interconnect Shilpi Lavania

Skin Effect: A Natural Phenomenon for Minimization of Ground Bounce in VLSI RC Interconnect Shilpi Lavania Skin Effect: A Natural Phenomenon for Minimization of Ground Bounce in VLSI RC Interconnect Shilpi Lavania International Science Index, Electronics and Communication Engineering waset.org/publication/9997602

More information

Accurate and Efficient Macromodel of Submicron Digital Standard Cells

Accurate and Efficient Macromodel of Submicron Digital Standard Cells Accurate and Efficient Macromodel of Submicron Digital Standard Cells Cristiano Forzan, Bruno Franzini and Carlo Guardiani SGS-THOMSON Microelectronics, via C. Olivetti, 2, 241 Agrate Brianza (MI), ITALY

More information

Analytical Dynamic Time Delay Model of Strongly Coupled RLC Interconnect Lines Dependent on Switching

Analytical Dynamic Time Delay Model of Strongly Coupled RLC Interconnect Lines Dependent on Switching Analytical Dynamic Time Delay Model of Strongly Coupled RLC Interconnect Lines Dependent on Switching Seongkyun Shin Hanyang Univ. Ansan Kyungki-Do Korea +82-31-4-5295 ssk@giga.hanyang.ac.kr William R.

More information

Reading. Lecture 17: MOS transistors digital. Context. Digital techniques:

Reading. Lecture 17: MOS transistors digital. Context. Digital techniques: Reading Lecture 17: MOS transistors digital Today we are going to look at the analog characteristics of simple digital devices, 5. 5.4 And following the midterm, we will cover PN diodes again in forward

More information

(2) v max = (3) III. SCENARIOS OF PROCESS ADVANCE AND SIMULATION SETUP

(2) v max = (3) III. SCENARIOS OF PROCESS ADVANCE AND SIMULATION SETUP Quantitative Prediction of On-chip Capacitive and Inductive Crosstalk Noise and Discussion on Wire Cross-Sectional Area Toward Inductive Crosstalk Free Interconnects Yasuhiro Ogasahara, Masanori Hashimoto,

More information

A Capacitive Coupling Interface with High Sensitivity for Wireless Wafer Testing

A Capacitive Coupling Interface with High Sensitivity for Wireless Wafer Testing A Capacitive Coupling Interface with High Sensitivity for Wireless Wafer Testing Gil-Su Kim, Makoto Takamiya, and Takayasu Sakurai The Institute of Industrial Science The University of Tokyo Tokyo, Japan

More information

Automated Simulation and Parameter Optimization for the Charge Sensitive Amplifier (CSAv2) ASIC

Automated Simulation and Parameter Optimization for the Charge Sensitive Amplifier (CSAv2) ASIC Automated Simulation and Parameter Optimization for the Charge Sensitive Amplifier (CSAv2) ASIC Author: Bronson Edralin Date: April 30, 2014 Abstract: The optimal performance of a CMOS Charge Sensitive

More information

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses

Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Area and Energy-Efficient Crosstalk Avoidance Codes for On-Chip Buses Srinivasa R. Sridhara, Arshad Ahmed, and Naresh R. Shanbhag Coordinated Science Laboratory/ECE Department University of Illinois at

More information

An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation

An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation Also presented at the January 31, 2005 IBIS Summit SIGRITY, INC. Sam Chitwood Raymond Y. Chen Jiayuan Fang March 2005

More information

MDSI: Signal Integrity Interconnect Fault Modeling and Testing for SoCs

MDSI: Signal Integrity Interconnect Fault Modeling and Testing for SoCs JOURNAL OF ELECTRONIC TESTING: Theory and Applications 23, 357 362, 2007 * 2007 Springer Science + Business Media, LLC Manufactured in The United States. DOI: 10.1007/s10836-006-0630-0 MDSI: Signal Integrity

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

All-digital ramp waveform generator for two-step single-slope ADC

All-digital ramp waveform generator for two-step single-slope ADC All-digital ramp waveform generator for two-step single-slope ADC Tetsuya Iizuka a) and Kunihiro Asada VLSI Design and Education Center (VDEC), University of Tokyo 2-11-16 Yayoi, Bunkyo-ku, Tokyo 113-0032,

More information

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR Janusz A. Starzyk and Ying-Wei Jan Electrical Engineering and Computer Science, Ohio University, Athens Ohio, 45701 A designated contact person Prof.

More information

A High-level Signal Integrity Fault Model and Test Methodology for Long On-Chip Interconnections

A High-level Signal Integrity Fault Model and Test Methodology for Long On-Chip Interconnections 2009 27th IEEE VLSI Test Symposium A High-level Signal Integrity Fault Model and Test Methodology for Long On-Chip Interconnections Sunghoon Chun 1, Yongjoon Kim 1, Taejin Kim 2 and Sungho Kang 1 1 Department

More information

Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing

Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing Nestoras Tzartzanis and Bill Athas nestoras@isiedu, athas@isiedu http://wwwisiedu/acmos Information Sciences Institute

More information

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits In this lab, we will be looking at ac signals with MOSFET circuits and digital electronics. The experiments will be performed

More information

II. WORKING PRINCIPLE The block diagram depicting the working principle of the proposed topology is as given below in Fig.2.

II. WORKING PRINCIPLE The block diagram depicting the working principle of the proposed topology is as given below in Fig.2. PIC Based Seven-Level Cascaded H-Bridge Multilevel Inverter R.M.Sekar, Baladhandapani.R Abstract- This paper presents a multilevel inverter topology in which a low switching frequency is made use taking

More information

5. CMOS Gates: DC and Transient Behavior

5. CMOS Gates: DC and Transient Behavior 5. CMOS Gates: DC and Transient Behavior Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 September 18, 2017 ECE Department, University

More information

EMT 251 Introduction to IC Design. Combinational Logic Design Part IV (Design Considerations)

EMT 251 Introduction to IC Design. Combinational Logic Design Part IV (Design Considerations) EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Combinational Logic Design Part IV (Design Considerations) Review : CMOS Inverter V DD tphl = f(rn, CL) V out

More information

LVTTL/CMOS DATA INPUT 100Ω SHIELDED TWISTED CABLE OR MICROSTRIP PC BOARD TRACES. Maxim Integrated Products 1

LVTTL/CMOS DATA INPUT 100Ω SHIELDED TWISTED CABLE OR MICROSTRIP PC BOARD TRACES. Maxim Integrated Products 1 19-1927; Rev ; 2/1 Quad LVDS Line Driver with General Description The quad low-voltage differential signaling (LVDS) differential line driver is ideal for applications requiring high data rates, low power,

More information

UNIT-1 Bipolar Junction Transistors. Text Book:, Microelectronic Circuits 6 ed., by Sedra and Smith, Oxford Press

UNIT-1 Bipolar Junction Transistors. Text Book:, Microelectronic Circuits 6 ed., by Sedra and Smith, Oxford Press UNIT-1 Bipolar Junction Transistors Text Book:, Microelectronic Circuits 6 ed., by Sedra and Smith, Oxford Press Figure 6.1 A simplified structure of the npn transistor. Microelectronic Circuits, Sixth

More information

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh ECE 471/571 The CMOS Inverter Lecture-6 Gurjeet Singh NMOS-to-PMOS ratio,pmos are made β times larger than NMOS Sizing Inverters for Performance Conclusions: Intrinsic delay tp0 is independent of sizing

More information

Worst Case RLC Noise with Timing Window Constraints

Worst Case RLC Noise with Timing Window Constraints Worst Case RLC Noise with Timing Window Constraints Jun Chen Electrical Engineering Department University of California, Los Angeles jchen@ee.ucla.edu Lei He Electrical Engineering Department University

More information

Interconnection from Design Perspective

Interconnection from Design Perspective ADMETA 2 / Interconnection from Design Perspective Takayasu Sakurai enter for ollaborative esearch and Institute of Industrial Science, University of Tokyo, 7-22- oppongi, Minato-ku, Tokyo, 6-8558 Japan

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

A CMOS CURRENT CONTROLLED RING OSCILLATOR WITH WIDE AND LINEAR TUNING RANGE

A CMOS CURRENT CONTROLLED RING OSCILLATOR WITH WIDE AND LINEAR TUNING RANGE A CMOS CURRENT CONTROLLED RING OSCILLATOR WI WIDE AND LINEAR TUNING RANGE Abstract Ekachai Leelarasmee 1 1 Electrical Engineering Department, Chulalongkorn University, Bangkok 10330, Thailand Tel./Fax.

More information

Lesson number one. Operational Amplifier Basics

Lesson number one. Operational Amplifier Basics What About Lesson number one Operational Amplifier Basics As well as resistors and capacitors, Operational Amplifiers, or Op-amps as they are more commonly called, are one of the basic building blocks

More information

SCALING power supply has become popular in lowpower

SCALING power supply has become popular in lowpower IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 1, JANUARY 2012 55 Design of a Subthreshold-Supply Bootstrapped CMOS Inverter Based on an Active Leakage-Current Reduction Technique

More information

Applications of 3D Electromagnetic Modeling in Magnetic Recording: ESD and Signal Integrity

Applications of 3D Electromagnetic Modeling in Magnetic Recording: ESD and Signal Integrity Applications of 3D Electromagnetic Modeling in Magnetic Recording: ESD and Signal Integrity CST NORTH AMERICAN USERS FORUM John Contreras 1 and Al Wallash 2 Hitachi Global Storage Technologies 1. San Jose

More information

EE141-Spring 2007 Digital Integrated Circuits

EE141-Spring 2007 Digital Integrated Circuits EE141-Spring 2007 Digital Integrated Circuits Lecture 22 I/O, Power Distribution dders 1 nnouncements Homework 9 has been posted Due Tu. pr. 24, 5pm Project Phase 4 (Final) Report due Mo. pr. 30, noon

More information

On Chip High Speed Interconnects: Trade offs in Passive Compensation

On Chip High Speed Interconnects: Trade offs in Passive Compensation On Chip High Speed Interconnects: Trade offs in Passive Compensation Term Project: ECE469 High Speed Integrated Electronics Raj Parihar Problem Statement Scaling and Current Scenario Increasing Chip Complexity

More information

Design of low threshold Full Adder cell using CNTFET

Design of low threshold Full Adder cell using CNTFET Design of low threshold Full Adder cell using CNTFET P Chandrashekar 1, R Karthik 1, O Koteswara Sai Krishna 1 and Ardhi Bhavana 1 1 Department of Electronics and Communication Engineering, MLR Institute

More information

ELEC Digital Logic Circuits Fall 2015 Delay and Power

ELEC Digital Logic Circuits Fall 2015 Delay and Power ELEC - Digital Logic Circuits Fall 5 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849 http://www.eng.auburn.edu/~vagrawal

More information

Run-time Power Control Scheme Using Software Feedback Loop for Low-Power Real-time Applications

Run-time Power Control Scheme Using Software Feedback Loop for Low-Power Real-time Applications Run-time Power Control Scheme Using Software Feedback Loop for Low-Power Real-time Applications Seongsoo Lee Takayasu Sakurai Center for Collaborative Research and Institute of Industrial Science, University

More information

Design of CMOS Based Numerical Control Oscillator with Better Performance Parameter in 45nm CMOS Process

Design of CMOS Based Numerical Control Oscillator with Better Performance Parameter in 45nm CMOS Process IJIRST International Journal for Innovative Research in Science & Technology Volume 2 Issue 09 February 2016 ISSN (online): 2349-6010 Design of CMOS Based Numerical Control Oscillator with Better Performance

More information

(ESC) , 49 51, 53 54, 59, 155, 161 error amplifier (EA) 53, 56 59, , , 239, 262 ESR, see equivalent series

(ESC) , 49 51, 53 54, 59, 155, 161 error amplifier (EA) 53, 56 59, , , 239, 262 ESR, see equivalent series Index AC DC converters 5, 226, 234, 237 conventional 235, 238 AC DC direct converters, nonisolated 226 227, 229, 231, 233 ACLR, see adjacent channel leakage ratio adjacent channel leakage ratio (ACLR)

More information

due to power supply and technology. Process specifications were obtained from the MOSIS

due to power supply and technology. Process specifications were obtained from the MOSIS design number 85739 VLSI Design Chromatic Instrument Tuner For the design of the operational amplifier, we have to take into consideration the constraints due to power supply and technology. Process specifications

More information

LVDS Owner s Manual. A General Design Guide for National s Low Voltage Differential Signaling (LVDS) Products. Moving Info with LVDS

LVDS Owner s Manual. A General Design Guide for National s Low Voltage Differential Signaling (LVDS) Products. Moving Info with LVDS LVDS Owner s Manual A General Design Guide for National s Low Voltage Differential Signaling (LVDS) Products Moving Info with LVDS Revision 2.0 January 2000 LVDS Evaluation Boards Chapter 6 6.0.0 LVDS

More information

Demonstration System EPC9051 Quick Start Guide. EPC2037 High Frequency Class-E Power Amplifier

Demonstration System EPC9051 Quick Start Guide. EPC2037 High Frequency Class-E Power Amplifier Demonstration System EPC905 Quick Start Guide EPC037 High Frequency Class-E Power Amplifier DESCRIPTION The EPC905 is a high efficiency, differential mode class-e amplifier development board that can operate

More information

CROSS-COUPLING capacitance and inductance have. Performance Optimization of Critical Nets Through Active Shielding

CROSS-COUPLING capacitance and inductance have. Performance Optimization of Critical Nets Through Active Shielding IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 51, NO. 12, DECEMBER 2004 2417 Performance Optimization of Critical Nets Through Active Shielding Himanshu Kaul, Student Member, IEEE,

More information

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 77-81 International Research Publication House http://www.irphouse.com Noise Tolerance Dynamic CMOS Logic

More information

How to Design an R g Resistor for a Vishay Trench PT IGBT

How to Design an R g Resistor for a Vishay Trench PT IGBT VISHAY SEMICONDUCTORS www.vishay.com Rectifiers By Carmelo Sanfilippo and Filippo Crudelini INTRODUCTION In low-switching-frequency applications like DC/AC stages for TIG welding equipment, the slow leg

More information

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Mikhail Popovich and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester, Rochester,

More information

Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits

Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 8, NO. 2, APRIL 2000 195 Effects of Inductance on the Propagation Delay Repeater Insertion in VLSI Circuits Yehea I. Ismail Eby G.

More information

José Gerardo Vieira da Rocha Nuno Filipe da Silva Ramos. Small Size Σ Analog to Digital Converter for X-rays imaging Aplications

José Gerardo Vieira da Rocha Nuno Filipe da Silva Ramos. Small Size Σ Analog to Digital Converter for X-rays imaging Aplications José Gerardo Vieira da Rocha Nuno Filipe da Silva Ramos Small Size Σ Analog to Digital Converter for X-rays imaging Aplications University of Minho Department of Industrial Electronics This report describes

More information

High Performance Signaling. Jan Rabaey

High Performance Signaling. Jan Rabaey High Performance Signaling Jan Rabaey Sources: Introduction to Digital Systems Engineering, Bill Dally, Cambridge Press, 1998. Circuits, Interconnections and Packaging for VLSI, H. Bakoglu, Addison-Wesley,

More information

LOW POWER DATA BUS ENCODING & DECODING SCHEMES

LOW POWER DATA BUS ENCODING & DECODING SCHEMES LOW POWER DATA BUS ENCODING & DECODING SCHEMES BY Candy Goyal Isha sood engg_candy@yahoo.co.in ishasood123@gmail.com LOW POWER DATA BUS ENCODING & DECODING SCHEMES Candy Goyal engg_candy@yahoo.co.in, Isha

More information

Linear voltage to current conversion using submicron CMOS devices

Linear voltage to current conversion using submicron CMOS devices Brigham Young University BYU ScholarsArchive All Faculty Publications 2004-05-04 Linear voltage to current conversion using submicron CMOS devices David J. Comer comer.ee@byu.edu Donald Comer See next

More information

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver 3.1 INTRODUCTION As last chapter description, we know that there is a nonlinearity relationship between luminance

More information

A New and Accurate Interconnection Delay Time Evaluation in a general Tree Type Network.

A New and Accurate Interconnection Delay Time Evaluation in a general Tree Type Network. A New and Accurate Interconnection Delay Time Evaluation in a general Tree Type Network. D. DESCHACHT, C. DABRIN Laboratoire d Informatique, de Robotique et de Microélectronique UMR CNRS 998 Université

More information

EET 438a Automatic Control Systems Technology Laboratory 1 Analog Sensor Signal Conditioning

EET 438a Automatic Control Systems Technology Laboratory 1 Analog Sensor Signal Conditioning EET 438a Automatic Control Systems Technology Laboratory 1 Analog Sensor Signal Conditioning Objectives: Use analog OP AMP circuits to scale the output of a sensor to signal levels commonly found in practical

More information

Integrated Circuit: Classification:

Integrated Circuit: Classification: Integrated Circuit: It is a miniature, low cost electronic circuit consisting of active and passive components that are irreparably joined together on a single crystal chip of silicon. Classification:

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Low Cost 10-Bit Monolithic D/A Converter AD561

Low Cost 10-Bit Monolithic D/A Converter AD561 a FEATURES Complete Current Output Converter High Stability Buried Zener Reference Laser Trimmed to High Accuracy (1/4 LSB Max Error, AD561K, T) Trimmed Output Application Resistors for 0 V to +10 V, 5

More information

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects International Journal of Scientific and Research Publications, Volume 3, Issue 9, September 2013 1 A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip

More information

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group Title: Package Model Proposal Source: Nanju Na (nananju@us.ibm.com) Jean Audet (jaudet@ca.ibm.com), David R Stauffer (dstauffe@us.ibm.com) Date: Dec 27 IBM Systems and Technology Group Abstract: New package

More information

INF4420 Switched capacitor circuits Outline

INF4420 Switched capacitor circuits Outline INF4420 Switched capacitor circuits Spring 2012 1 / 54 Outline Switched capacitor introduction MOSFET as an analog switch z-transform Switched capacitor integrators 2 / 54 Introduction Discrete time analog

More information

Written Examination on. Wednesday October 17, 2007,

Written Examination on. Wednesday October 17, 2007, Written Examination on Wednesday October 17, 2007, 08.00-12.00 The textbook and a calculator are allowed on the examination 1. The following logical function is given Q= AB( CD+ CE) + F a. Draw the schematic

More information

A fully autonomous power management interface for frequency upconverting harvesters using load decoupling and inductor sharing

A fully autonomous power management interface for frequency upconverting harvesters using load decoupling and inductor sharing Journal of Physics: Conference Series PAPER OPEN ACCESS A fully autonomous power management interface for frequency upconverting harvesters using load decoupling and inductor sharing To cite this article:

More information

Measurement Results for a High Throughput MCM

Measurement Results for a High Throughput MCM Measurement Results for a High Throughput MCM Funding: Paul Franzon Toby Schaffer, Alan Glaser, Steve Lipa North Carolina State University paulf@ncsu.edu www.ece.ncsu.edu/erl Outline > Heterogeneous System

More information

1) Consider the circuit shown in figure below. Compute the output waveform for an input of 5kHz

1) Consider the circuit shown in figure below. Compute the output waveform for an input of 5kHz ) Consider the circuit shown in figure below. Compute the output waveform for an input of 5kHz Solution: a) Input is of constant amplitude of 2 V from 0 to 0. ms and 2 V from 0. ms to 0.2 ms. The output

More information

Digital Systems Power, Speed and Packages II CMPE 650

Digital Systems Power, Speed and Packages II CMPE 650 Speed VLSI focuses on propagation delay, in contrast to digital systems design which focuses on switching time: A B A B rise time propagation delay Faster switching times introduce problems independent

More information

An Interconnect-Centric Approach to Cyclic Shifter Design

An Interconnect-Centric Approach to Cyclic Shifter Design An Interconnect-Centric Approach to Cyclic Shifter Design Haikun Zhu, Yi Zhu C.-K. Cheng Harvey Mudd College. David M. Harris Harvey Mudd College. 1 Outline Motivation Previous Work Approaches Fanout-Splitting

More information

Electronic Devices. Floyd. Chapter 6. Ninth Edition. Electronic Devices, 9th edition Thomas L. Floyd

Electronic Devices. Floyd. Chapter 6. Ninth Edition. Electronic Devices, 9th edition Thomas L. Floyd Electronic Devices Ninth Edition Floyd Chapter 6 Agenda BJT AC Analysis Linear Amplifier AC Load Line Transistor AC Model Common Emitter Amplifier Common Collector Amplifier Common Base Amplifier Special

More information

Calibration Techniques for Precision Power Measurement in Semiconductor Proces Applications

Calibration Techniques for Precision Power Measurement in Semiconductor Proces Applications Calibration Techniques for Precision Power Measurement in Semiconductor Proces Applications MCS Standard Bird Directional Power Meter Lumped Element Directional Coupler Radio frequency power measurement

More information

AS very large-scale integration (VLSI) circuits continue to

AS very large-scale integration (VLSI) circuits continue to IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 49, NO. 11, NOVEMBER 2002 2001 A Power-Optimal Repeater Insertion Methodology for Global Interconnects in Nanometer Designs Kaustav Banerjee, Member, IEEE, Amit

More information

Interfacing Single-Ended PECL to Differential PECL and Differential PECL to Single-Ended PECL

Interfacing Single-Ended PECL to Differential PECL and Differential PECL to Single-Ended PECL Application Note: HFAN-1.0.1 Rev 2; 04/08 Interfacing Single-Ended PECL to Differential PECL and Differential PECL to Single-Ended PECL Interfacing Single-Ended PECL to Differential PECL and Differential

More information