On Chip High Speed Interconnects: Trade offs in Passive Compensation

Size: px
Start display at page:

Download "On Chip High Speed Interconnects: Trade offs in Passive Compensation"

Transcription

1 On Chip High Speed Interconnects: Trade offs in Passive Compensation Term Project: ECE469 High Speed Integrated Electronics Raj Parihar

2 Problem Statement Scaling and Current Scenario Increasing Chip Complexity (More functionality) Local wire % grows exponentially CAD tool should account this increased wire density Global On-Chip Communication Cost Wire performance, relative to gates will continue to worsen Aggressive use of repeaters and buffers results into increased chip area and power consumption Signal Distortion over a serial channel Frequency dependency of attenuation and phase velocity 12/17/2008 Raj Parihar 2

3 Problem Statement High Speed On Chip Interconnects Most on chip wires are open circuit Tx line Tx Zo Rx On Chip Passive Compensation Reduces the reflection by termination Introduces Latency Power Trade off Tx Zo Rx Z t 12/17/2008 Raj Parihar 3

4 Papers Michael P. Flynn and Joshua J. Kang, Global Signaling over Lossy Transmission Lines, IEEE ICCAD 2005 A. Tsuchiya et al, Design Guideline for Resistive Termination of On-Chip High-Speed Interconnects, IEEE CICC 2005 H. Chen, David Harris, Surfliner: A Distortion less Electrical Signaling Scheme for Speed of Light On-Chip Communications IEEE ICCD 2005 Chun-Chen Liu et al, Passive Compensation For High Performance Inter-Chip Communication IEEE ICCD 2007 Yulei Zhang et al, On-Chip Bus Signaling Using Passive Compensation, IEEE EPEP /17/2008 Raj Parihar 4

5 Introduction: On Chip Interconnects Interconnect Propagation Delay > 1/6 Rise Time of Digital Signal Lumped Circuit models are no more valid Interconnects has to be analyzed as Tx line High Speed Transmission Line Attenuation Repeaters/ Signal boosters are required Reflection Proper matching at the receiver end is required ISI is a problem to achieve high speed 12/17/2008 Raj Parihar 5

6 Modeling: On Chip Interconnects R wire C wire Distributed RC Wire Model Simple to understand and analyze Less accurate at higher frequency C wire d Repeater Repeated RC Wire Model Liner Delay w. r. t. length of wire Increased power and die area d R wire L C R L C Lossless Transmission Line High BW; Less power consumption Unrealistic to implement Lossy Transmission Line More realistic and accurate Reflections, Dispersion, Attenuation 12/17/2008 Raj Parihar 6

7 Metrics of Transmission Line Characteristic Impedance: Z o Ratio of voltage to current for forward waves f Z o = V + f = s L Wave Velocity I + C Phase Velocity: Speed of a point ~ Wave s speed Group Velocity: Speed of overall energy Return Loss How much power is reflected? VSWR Voltage Standing Wave Ratio: Ration of V + and V - w 12/17/2008 Raj Parihar 7

8 TL Wave Propagation and Matching Why do we require matching? To make sure the whole power is delivered to load To avoid the source oscillation and noise in link Avoid reflections and attenuation Without Termination V near =V far =V dd With Termination* V near = V dd 2 f V far = V near αl 12/17/2008 Raj Parihar 8

9 Terminations: Most Commonly Used Parallel Termination Zo Removes reflections Dissipates Power R t Pull/ Pull Down Termination Zo Improved Noise Margin Two components to optimize R t AC Termination Zo R t No DC Power Dissipation C is difficult to optimize Cc R s Series Termination Zo Attenuates reflected signals Lowers the voltage swing 12/17/2008 Raj Parihar 9

10 Findings: Thesis Eye Opening (V) Eye Opening (V) Eye Opening VS Bit Rate 1 Open Circuited TL Passively Terminated 0.5 Bit Rate (Gbps) 100 Eye Opening VS Normalized Z 1 Attenuation Constant Alpha = f (R t ) 20 Gbps, L = 10mm Normalized Termination Z 100 Energy/Bit (fj/bit) Output Voltage (V) Energy/Bit VS Impedance 46 Open Circuited TL Passively Terminated Optimally Terminated ( +40%) 28 Terminator s Impedance (Ohm) 1000 Step Response of Lossy TL 1 Long TL Short TL Input Signal 0.5 Time (ns) 5 12/17/2008 Raj Parihar 10

11 Survey: Contemporary Research Work Surflinear Architecture Improvement over Surflinear Z o G n Z o G n R t Emulation of distortion less transmission line Shunt G to compensate the R voltage drop High Speed, High BW, Low Jitter, Low Power Attenuation persists, Many on chip component Mismatch and process variation; G = RC/L Addition of Rt at Rx end to match with load Reflections are reduced by matching at Rx Rt can be chosen to optimize Eye opening Attenuation persists, Many on chip component Mismatch and process variation; G = RC/L Parallel Termination Most Recent: Parallel RC at Rx Z o R t R d Z o 2R L Simple to find the optimum termination R value Reduces the reflections to avoid the oscillation Constant power consumption Termination is sensitive to R process variation C 2R L d Single ended on chip T line to minimize power Series resistance minimizes the reflected wave Implementation of on chip C is area consuming 12/17/2008 Raj Parihar 11

12 Passive Termination and TL: Trade Offs Pros Improved Bandwidth Improved bit rate at high frequency Low rise time thus low latency Cons Increased Power consumption Reduced Noise Margin at low speed Process Variation Inductance Modeling 12/17/2008 Raj Parihar 12

13 Conclusion: Guidelines When on chip termination is required? Optimum termination? Minimum sensitivity to process variation Maximum eye opening in voltage output Desired bandwidth and acceptable power consumption Area VS Power Consumption (R Value) 12/17/2008 Raj Parihar 13

14 Appendix Simulation Results Mismatching, Reflection and VSWR

15 Z o = 50 ohm, Z L = 200 ohm; VSWR = 4 12/17/2008 Raj Parihar 15

16 Z o = 50 ohm, Z L = 50 ohm; VSWR = 1 Matched Case: No Reflected Wave 12/17/2008 Raj Parihar 16

17 Z o = 50 ohm, Z L = 20 ohm; VSWR = /17/2008 Raj Parihar 17

On-chip High Performance Signaling Using Passive Compensation

On-chip High Performance Signaling Using Passive Compensation On-chip High Performance Signaling Using Passive Compensation Yulei Zhang, Ling Zhang, Akira Tsuchiya, Masanori Hashimoto, Chung-Kuan Cheng University of California, San Diego, La Jolla, CA 92093-0404,

More information

High Performance On-Chip Differential Signaling Using Passive Compensation for Global Communication

High Performance On-Chip Differential Signaling Using Passive Compensation for Global Communication High Performance On-Chip Differential Signaling Using Passive Compensation for Global Communication Ling Zhang 1, Yulei Zhang 2, Akira Tsuchiya 3, Masanori Hashimoto 4, Ernest S. Kuh 5 and Chung-Kuan Cheng

More information

ECE 497 JS Lecture - 22 Timing & Signaling

ECE 497 JS Lecture - 22 Timing & Signaling ECE 497 JS Lecture - 22 Timing & Signaling Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements - Signaling Techniques (4/27) - Signaling

More information

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors Design for MOSIS Educational Program (Research) Transmission-Line-Based, Shared-Media On-Chip Interconnects for Multi-Core Processors Prepared by: Professor Hui Wu, Jianyun Hu, Berkehan Ciftcioglu, Jie

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer

A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer Po-Wei Chiu, Somnath Kundu, Qianying Tang, and Chris H. Kim University of Minnesota, Minneapolis,

More information

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray Agenda Problems of On-chip Global Signaling Channel Design Considerations

More information

ECE 546 Introduction

ECE 546 Introduction ECE 546 Introduction Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 546 Jose Schutt Aine 1 Future System Needs and Functions Auto Digital

More information

High Speed Digital Design & Verification Seminar. Measurement fundamentals

High Speed Digital Design & Verification Seminar. Measurement fundamentals High Speed Digital Design & Verification Seminar Measurement fundamentals Agenda Sources of Jitter, how to measure and why Importance of Noise Select the right probes! Capture the eye diagram Why measure

More information

LSI and Circuit Technologies of the SX-9

LSI and Circuit Technologies of the SX-9 TANAHASHI Toshio, TSUCHIDA Junichi, MATSUZAWA Hajime NIWA Kenji, SATOH Tatsuo, KATAGIRI Masaru Abstract This paper outlines the LSI and circuit technologies of the SX-9 as well as their inspection technologies.

More information

Phil Lehwalder ECE526 Summer 2011 Dr. Chiang

Phil Lehwalder ECE526 Summer 2011 Dr. Chiang Phil Lehwalder ECE526 Summer 2011 Dr. Chiang PLL (Phase Lock Loop) Dynamic system that produces a clock in response to the frequency and phase of an input clock by varying frequency of an internal oscillator.

More information

if the conductance is set to zero, the equation can be written as following t 2 (4)

if the conductance is set to zero, the equation can be written as following t 2 (4) 1 ECEN 720 High-Speed Links: Circuits and Systems Lab1 - Transmission Lines Objective To learn about transmission lines and time-domain reflectometer (TDR). Introduction Wires are used to transmit clocks

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

EE273 Lecture 3 More about Wires Lossy Wires, Multi-Drop Buses, and Balanced Lines. Today s Assignment

EE273 Lecture 3 More about Wires Lossy Wires, Multi-Drop Buses, and Balanced Lines. Today s Assignment EE73 Lecture 3 More about Wires Lossy Wires, Multi-Drop Buses, and Balanced Lines September 30, 998 William J. Dally Computer Systems Laboratory Stanford University billd@csl.stanford.edu Today s Assignment

More information

IEEE CX4 Quantitative Analysis of Return-Loss

IEEE CX4 Quantitative Analysis of Return-Loss IEEE CX4 Quantitative Analysis of Return-Loss Aaron Buchwald & Howard Baumer Mar 003 Return Loss Issues for IEEE 0G-Base-CX4 Realizable Is the spec realizable with standard packages and I/O structures

More information

On-Chip Signaling Techniques for High-Speed SerDes Transceivers

On-Chip Signaling Techniques for High-Speed SerDes Transceivers The American University in Cairo School of Science and Engineering On-Chip Signaling Techniques for High-Speed SerDes Transceivers A Thesis submitted to The Department of Electronics Engineering In Partial

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

SINCE the performance of personal computers (PCs) has

SINCE the performance of personal computers (PCs) has 334 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 5, MAY 2010 Multi-Slot Main Memory System for Post DDR3 Jaejun Lee, Sungho Lee, and Sangwook Nam, Member, IEEE Abstract This

More information

CMOS RFIC Design for Direct Conversion Receivers. Zhaofeng ZHANG Supervisor: Dr. Jack Lau

CMOS RFIC Design for Direct Conversion Receivers. Zhaofeng ZHANG Supervisor: Dr. Jack Lau CMOS RFIC Design for Direct Conversion Receivers Zhaofeng ZHANG Supervisor: Dr. Jack Lau Outline of Presentation Background Introduction Thesis Contributions Design Issues and Solutions A Direct Conversion

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

Design Methodology of High Performance On-Chip Global Interconnect Using Terminated Transmission-Line

Design Methodology of High Performance On-Chip Global Interconnect Using Terminated Transmission-Line Design Methodology of High Performance On-Chip Global Interconnect Using Terminated Transmission-Line Yulei Zhang 1, Ling Zhang 2, Alina Deutsch 3, George A. Katopis Daniel M. Dreps, James F. Buckwalter

More information

CHAPTER - 3 PIN DIODE RF ATTENUATORS

CHAPTER - 3 PIN DIODE RF ATTENUATORS CHAPTER - 3 PIN DIODE RF ATTENUATORS 2 NOTES 3 PIN DIODE VARIABLE ATTENUATORS INTRODUCTION An Attenuator [1] is a network designed to introduce a known amount of loss when functioning between two resistive

More information

Fast and Accurate RF component characterization enabled by FPGA technology

Fast and Accurate RF component characterization enabled by FPGA technology Fast and Accurate RF component characterization enabled by FPGA technology Guillaume Pailloncy Senior Systems Engineer Agenda RF Application Challenges What are FPGAs and why are they useful? FPGA-based

More information

A Novel Low Power Optimization for On-Chip Interconnection

A Novel Low Power Optimization for On-Chip Interconnection International Journal of Scientific and Research Publications, Volume 3, Issue 3, March 2013 1 A Novel Low Power Optimization for On-Chip Interconnection B.Ganga Devi*, S.Jayasudha** Department of Electronics

More information

Analysis on the Effectiveness of Clock Trace Termination Methods and Trace Lengths on a Printed Circuit Board

Analysis on the Effectiveness of Clock Trace Termination Methods and Trace Lengths on a Printed Circuit Board Analysis on the Effectiveness of Clock Trace Termination Methods and Trace Lengths on a Printed Circuit Board Mark I. Montrose Montrose Compliance Services 2353 Mission Glen Dr. Santa Clara, CA 95051-1214

More information

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab.

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab. High-Speed Circuits and Systems Laboratory B.M.Yu 1 Content 1. Introduction 2. Pre-emphasis 1. Amplitude pre-emphasis 2. Phase pre-emphasis 3. Circuit implantation 4. Result 5. Conclusion 2 Introduction

More information

Signal Technologies 1

Signal Technologies 1 Signal Technologies 1 Gunning Transceiver Logic (GTL) - evolution Evolved from BTL, the backplane transceiver logic, which in turn evolved from ECL (emitter-coupled logic) Setup of an open collector bus

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

Multiplexer for Capacitive sensors

Multiplexer for Capacitive sensors DATASHEET Multiplexer for Capacitive sensors Multiplexer for Capacitive Sensors page 1/7 Features Very well suited for multiple-capacitance measurement Low-cost CMOS Low output impedance Rail-to-rail digital

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

3 Definitions, symbols, abbreviations, and conventions

3 Definitions, symbols, abbreviations, and conventions T10/02-358r2 1 Scope 2 Normative references 3 Definitions, symbols, abbreviations, and conventions 4 General 4.1 General overview 4.2 Cables, connectors, signals, transceivers 4.3 Physical architecture

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

The Practical Limitations of S Parameter Measurements and the Impact on Time- Domain Simulations of High Speed Interconnects

The Practical Limitations of S Parameter Measurements and the Impact on Time- Domain Simulations of High Speed Interconnects The Practical Limitations of S Parameter Measurements and the Impact on Time- Domain Simulations of High Speed Interconnects Dennis Poulin Anritsu Company Slide 1 Outline PSU Signal Integrity Symposium

More information

An All CMOS, 2.4 GHz, Fully Adaptive, Scalable, Frequency Hopped Transceiver

An All CMOS, 2.4 GHz, Fully Adaptive, Scalable, Frequency Hopped Transceiver An All CMOS, 2.4 GHz, Fully Adaptive, Scalable, Frequency Hopped Transceiver Farbod Behbahani John Leete Alexandre Kral Shahrzad Tadjpour Karapet Khanoyan Paul J. Chang Hooman Darabi Maryam Rofougaran

More information

Interconnect/Via CONCORDIA VLSI DESIGN LAB

Interconnect/Via CONCORDIA VLSI DESIGN LAB Interconnect/Via 1 Delay of Devices and Interconnect 2 Reduction of the feature size Increase in the influence of the interconnect delay on system performance Skew The difference in the arrival times of

More information

Code: 9A Answer any FIVE questions All questions carry equal marks *****

Code: 9A Answer any FIVE questions All questions carry equal marks ***** II B. Tech II Semester (R09) Regular & Supplementary Examinations, April/May 2012 ELECTRONIC CIRCUIT ANALYSIS (Common to EIE, E. Con. E & ECE) Time: 3 hours Max Marks: 70 Answer any FIVE questions All

More information

Serial Data Transmission

Serial Data Transmission Serial Data Transmission Dr. José Ernesto Rayas Sánchez 1 Outline Baseband serial transmission Line Codes Bandwidth of serial data streams Block codes Serialization Intersymbol Interference (ISI) Jitter

More information

High-speed Integrated Circuits for Silicon Photonics

High-speed Integrated Circuits for Silicon Photonics High-speed Integrated Circuits for Silicon Photonics Institute of Semiconductor, CAS 2017.7 Outline Introduction High-Speed Signaling Fundamentals TX Design Techniques RX Design Techniques Design Examples

More information

Chapter 4. Problems. 1 Chapter 4 Problem Set

Chapter 4. Problems. 1 Chapter 4 Problem Set 1 Chapter 4 Problem Set Chapter 4 Problems 1. [M, None, 4.x] Figure 0.1 shows a clock-distribution network. Each segment of the clock network (between the nodes) is 5 mm long, 3 µm wide, and is implemented

More information

To learn S-parameter, eye diagram, ISI, modulation techniques and to simulate in Matlab and Cadence.

To learn S-parameter, eye diagram, ISI, modulation techniques and to simulate in Matlab and Cadence. 1 ECEN 689 High-Speed Links Circuits and Systems Lab2- Channel Models Objective To learn S-parameter, eye diagram, ISI, modulation techniques and to simulate in Matlab and Cadence. Introduction S-parameters

More information

High Performance Signaling. Jan Rabaey

High Performance Signaling. Jan Rabaey High Performance Signaling Jan Rabaey Sources: Introduction to Digital Systems Engineering, Bill Dally, Cambridge Press, 1998. Circuits, Interconnections and Packaging for VLSI, H. Bakoglu, Addison-Wesley,

More information

Channel operating margin for PAM4 CDAUI-8 chip-to-chip interfaces

Channel operating margin for PAM4 CDAUI-8 chip-to-chip interfaces Channel operating margin for PAM4 CDAUI-8 chip-to-chip interfaces Adam Healey Avago Technologies IEEE P802.3bs 400 GbE Task Force March 2015 Introduction Channel Operating Margin (COM) is a figure of merit

More information

DESIGN TECHNIQUES FOR ON-CHIP GLOBAL SIGNALING OVER LOSSY TRANSMISSION LINES. Jun Young Park

DESIGN TECHNIQUES FOR ON-CHIP GLOBAL SIGNALING OVER LOSSY TRANSMISSION LINES. Jun Young Park DESIGN TECHNIQUES FOR ON-CHIP GLOBAL SIGNALING OVER LOSSY TRANSMISSION LINES by Jun Young Park A dissertation submitted in partial fulfillment of the requirements for the degree of Doctoral of Philosophy

More information

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV)

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV) Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV) Jihye Kim, Insu Hwang, Youngwoo Kim, Heegon Kim and Joungho Kim Department of Electrical Engineering

More information

Design and Performance Analysis of Low Power RF Operational Amplifier using CMOS and BiCMOS Technology

Design and Performance Analysis of Low Power RF Operational Amplifier using CMOS and BiCMOS Technology Proc. of Int. Conf. on Recent Trends in Information, Telecommunication and Computing, ITC Design and Performance Analysis of Low Power RF Operational Amplifier using CMOS and BiCMOS Technology A. Baishya

More information

Comparison of Time Domain and Statistical IBIS-AMI Analyses Mike LaBonte SiSoft

Comparison of Time Domain and Statistical IBIS-AMI Analyses Mike LaBonte SiSoft Comparison of Time Domain and Statistical IBIS-AMI Analyses Mike LaBonte SiSoft Asian IBIS Summit 2017 Taipei, ROC November 15, 2017 9 Combinations of TX and RX Model Types AMI file has: GetWave_Exists

More information

Comparison of Time Domain and Statistical IBIS-AMI Analyses

Comparison of Time Domain and Statistical IBIS-AMI Analyses Comparison of Time Domain and Statistical IBIS-AMI Analyses Mike LaBonte SiSoft Asian IBIS Summit 2017 Shanghai, PRC November 13, 2017 9 Combinations of TX and RX Model Types AMI file has: GetWave_Exists

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

From the Design-Guide menu on the ADS Schematic window, select (Filters Design-Guide) > Utilities > Smith Chart Control Window.

From the Design-Guide menu on the ADS Schematic window, select (Filters Design-Guide) > Utilities > Smith Chart Control Window. Objectives: 1. To understand the function of transmission line stubs. 2. To perform impedance matching graphically using the smith chart utility in ADS. 3. To calculate the transmission line parameters

More information

Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect

Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect Introduction - So far, have considered transistor-based logic in the face of technology scaling - Interconnect effects are also of concern

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

Circuit Design for a 2.2 GByte/s Memory Interface

Circuit Design for a 2.2 GByte/s Memory Interface Circuit Design for a 2.2 GByte/s Memory Interface Stefanos Sidiropoulos Work done at Rambus Inc with A. Abhyankar, C. Chen, K. Chang, TJ Chin, N. Hays, J. Kim, Y. Li, G. Tsang, A. Wong, D. Stark Increasing

More information

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 http://dx.doi.org/10.5573/jsts.2012.12.4.405 An 8-Gb/s Inductorless Adaptive Passive Equalizer in 0.18- µm CMOS Technology

More information

Minh Quach. Signal Integrity Consideration and Analysis 4/30/2004. Frequency & Time Domain Measurements/Analysis

Minh Quach. Signal Integrity Consideration and Analysis 4/30/2004. Frequency & Time Domain Measurements/Analysis Minh Quach. Signal Integrity Consideration and Analysis 4/30/2004 Frequency & Time Domain Measurements/Analysis Outline Three Measurement Methodologies Direct TDR (Time Domain Reflectometry) VNA (Vector

More information

UNIVERSITY OF CALIFORNIA, SAN DIEGO

UNIVERSITY OF CALIFORNIA, SAN DIEGO UNIVERSITY OF CALIFORNIA, SAN DIEGO Low Power High Performance Interconnect Design and Optimization A dissertation submitted in partial satisfaction of the requirements for the degree Doctor of Philosophy

More information

System on a Chip. Prof. Dr. Michael Kraft

System on a Chip. Prof. Dr. Michael Kraft System on a Chip Prof. Dr. Michael Kraft Lecture 4: Filters Filters General Theory Continuous Time Filters Background Filters are used to separate signals in the frequency domain, e.g. remove noise, tune

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

** Dice/wafers are designed to operate from -40 C to +85 C, but +3.3V. V CC LIMITING AMPLIFIER C FILTER 470pF PHOTODIODE FILTER OUT+ IN TIA OUT-

** Dice/wafers are designed to operate from -40 C to +85 C, but +3.3V. V CC LIMITING AMPLIFIER C FILTER 470pF PHOTODIODE FILTER OUT+ IN TIA OUT- 19-2105; Rev 2; 7/06 +3.3V, 2.5Gbps Low-Power General Description The transimpedance amplifier provides a compact low-power solution for 2.5Gbps communications. It features 495nA input-referred noise,

More information

Cascading Techniques for a High-Speed Memory Interface

Cascading Techniques for a High-Speed Memory Interface Session 12.7 Cascading echniques for a High-Speed Memory Interface Zheng Gu, Peter Gregorius, aniel Kehrer, Lydia Neumann, Evelyn Neuscheler, homas Rickes, Hermann Ruckerbauer, Ralf Schledz, Martin Streibl,

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 9: Noise Sources Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 5 Report and Prelab 6 due Apr. 3 Stateye

More information

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs Li Zhou and Avinash Kodi Technologies for Emerging Computer Architecture Laboratory (TEAL) School of Electrical Engineering and

More information

To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence.

To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab2- Channel Models Objective To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence. Introduction

More information

Gain Slope issues in Microwave modules?

Gain Slope issues in Microwave modules? Gain Slope issues in Microwave modules? Physical constraints for broadband operation If you are a microwave hardware engineer you most likely have had a few sobering experiences when you test your new

More information

2011/12 Cellular IC design RF, Analog, Mixed-Mode

2011/12 Cellular IC design RF, Analog, Mixed-Mode 2011/12 Cellular IC design RF, Analog, Mixed-Mode Mohammed Abdulaziz, Mattias Andersson, Jonas Lindstrand, Xiaodong Liu, Anders Nejdel Ping Lu, Luca Fanori Martin Anderson, Lars Sundström, Pietro Andreani

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5 20.5 An Ultra-Low Power 2.4GHz RF Transceiver for Wireless Sensor Networks in 0.13µm CMOS with 400mV Supply and an Integrated Passive RX Front-End Ben W. Cook, Axel D. Berny, Alyosha Molnar, Steven Lanzisera,

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

10MHz to 500MHz VCO Buffer Amplifiers with Differential Outputs

10MHz to 500MHz VCO Buffer Amplifiers with Differential Outputs 19-4797; Rev 0; 2/99 EVALUATION KIT MANUAL FOLLOWS DATA SHEET 10MHz to 500MHz VCO Buffer Amplifiers General Description The / are flexible, low-cost, highreverse-isolation buffer amplifiers for applications

More information

Time: 3 hours Max Marks: 70 Answer any FIVE questions All questions carry equal marks *****

Time: 3 hours Max Marks: 70 Answer any FIVE questions All questions carry equal marks ***** Code: 9A04601 DIGITAL COMMUNICATIONS (Electronics and Communication Engineering) 1 (a) Explain in detail about non-uniform quantization. (b) What is the disadvantage of uniform quantization over the non-uniform

More information

Dual-Rate Fibre Channel Repeaters

Dual-Rate Fibre Channel Repeaters 9-292; Rev ; 7/04 Dual-Rate Fibre Channel Repeaters General Description The are dual-rate (.0625Gbps and 2.25Gbps) fibre channel repeaters. They are optimized for use in fibre channel arbitrated loop applications

More information

DESIGN TIP DT Managing Transients in Control IC Driven Power Stages 2. PARASITIC ELEMENTS OF THE BRIDGE CIRCUIT 1. CONTROL IC PRODUCT RANGE

DESIGN TIP DT Managing Transients in Control IC Driven Power Stages 2. PARASITIC ELEMENTS OF THE BRIDGE CIRCUIT 1. CONTROL IC PRODUCT RANGE DESIGN TIP DT 97-3 International Rectifier 233 Kansas Street, El Segundo, CA 90245 USA Managing Transients in Control IC Driven Power Stages Topics covered: By Chris Chey and John Parry Control IC Product

More information

Lecture 02: Logic Families. R.J. Harris & D.G. Bailey

Lecture 02: Logic Families. R.J. Harris & D.G. Bailey Lecture 02: Logic Families R.J. Harris & D.G. Bailey Objectives Show how diodes can be used to form logic gates (Diode logic). Explain the need for introducing transistors in the output (DTL and TTL).

More information

IBIS in the Frequency Domain. Michael Mirmak Intel Corporation DAC IBIS Summit 2006 July 25, 2006

IBIS in the Frequency Domain. Michael Mirmak Intel Corporation DAC IBIS Summit 2006 July 25, 2006 IBIS in the Frequency Domain Michael Mirmak Intel Corporation DAC IBIS Summit 2006 July 25, 2006 Agenda Frequency Domain and Related Aspects Area 1: Maximum Switching Frequency Area 2: C_comp Stability

More information

Ensuring Signal and Power Integrity for High-Speed Digital Systems

Ensuring Signal and Power Integrity for High-Speed Digital Systems Ensuring Signal and Power Integrity for High-Speed Digital Systems An EMC Perspective Christian Schuster Institut für Theoretische Elektrotechnik Technische Universität Hamburg-Harburg (TUHH) Invited Presentation

More information

1.25Gbps/2.5Gbps, +3V to +5.5V, Low-Noise Transimpedance Preamplifiers for LANs

1.25Gbps/2.5Gbps, +3V to +5.5V, Low-Noise Transimpedance Preamplifiers for LANs 19-4796; Rev 1; 6/00 EVALUATION KIT AVAILABLE 1.25Gbps/2.5Gbps, +3V to +5.5V, Low-Noise General Description The is a transimpedance preamplifier for 1.25Gbps local area network (LAN) fiber optic receivers.

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

Chip-to-module far-end TX eye measurement proposal

Chip-to-module far-end TX eye measurement proposal Chip-to-module far-end TX eye measurement proposal Raj Hegde & Adam Healey IEEE P802.3bs 400 Gb/s Ethernet Task Force March 2017 Vancouver, BC, Canada 1 Background In smith_3bs_01a_0915, it was shown that

More information

Closed-Form Expressions for Interconnection Delay, Coupling, and Crosstalk in VLSI s

Closed-Form Expressions for Interconnection Delay, Coupling, and Crosstalk in VLSI s Closed-Form Expressions for Interconnection Delay, Coupling, and Crosstalk in VLSI s author Dr. Takayasu Sakurai Semiconductor Device Engnieering Laboratory, Toshiba Corporation, Tokoyo, Japan IEEE Transaction

More information

LECTURE 6 BROAD-BAND AMPLIFIERS

LECTURE 6 BROAD-BAND AMPLIFIERS ECEN 54, Spring 18 Active Microwave Circuits Zoya Popovic, University of Colorado, Boulder LECTURE 6 BROAD-BAND AMPLIFIERS The challenge in designing a broadband microwave amplifier is the fact that the

More information

An Asynchronous High-Throughput Control Circuit For Proximity Communication Justin Schauer

An Asynchronous High-Throughput Control Circuit For Proximity Communication Justin Schauer An Asynchronous High-Throughput Control Circuit For Proximity Communication VLSI Research Group Sun Microsystems Laboratories To Discuss: Proximity communication The timing challenge Our asynchronous solution

More information

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing Prof. Tsu Jae King Liu Department of Electrical Engineering and Computer Sciences University of California, Berkeley,

More information

DATASHEET HA Features. Applications. Ordering Information. Pinouts. 250MHz Video Buffer. FN2924 Rev 8.00 Page 1 of 12.

DATASHEET HA Features. Applications. Ordering Information. Pinouts. 250MHz Video Buffer. FN2924 Rev 8.00 Page 1 of 12. 25MHz Video Buffer NOT RECOMMENDED FOR NEW DESIGNS NO RECOMMENDED REPLACEMENT contact our Technical Support Center at -888-INTERSIL or www.intersil.com/tsc DATASHEET FN2924 Rev 8. The HA-533 is a unity

More information

Abstract. High-Speed Transceiver Design in CMOS using Multilevel (4-PAM) Signaling.

Abstract. High-Speed Transceiver Design in CMOS using Multilevel (4-PAM) Signaling. Abstract JOSEPH, BALU High-Speed Transceiver Design in CMOS using Multilevel (4-PAM) Signaling. (Under the direction of Dr. Wentai Liu) The design of a 4 Gbps serial link transceiver in 0.35µm CMOS process

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Backchannel Modeling and Simulation Using Recent Enhancements to the IBIS Standard

Backchannel Modeling and Simulation Using Recent Enhancements to the IBIS Standard Backchannel Modeling and Simulation Using Recent Enhancements to the IBIS Standard By Ken Willis, Product Engineering Architect; Ambrish Varma, Senior Principal Software Engineer; Dr. Kumar Keshavan, Senior

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Outline

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Outline EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture #7 Components Termination, Transmitters & Receivers Jared Zerbe 2/10/04 Outline General issues Termination

More information

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014 Considerations in High-Speed High Performance Die-Package-Board Co-Design Jenny Jiang Altera Packaging Department October 2014 Why Co-Design? Complex Multi-Layer BGA Package Horizontal and vertical design

More information

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT PRADEEP G CHAGASHETTI Mr. H.V. RAVISH ARADHYA Department of E&C Department of E&C R.V.COLLEGE of ENGINEERING R.V.COLLEGE of ENGINEERING Bangalore

More information

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard 0.13 µm CMOS SOI Technology School of Electrical and Electronic Engineering Yonsei University 이슬아 1. Introduction 2. Architecture

More information

Session 3. CMOS RF IC Design Principles

Session 3. CMOS RF IC Design Principles Session 3 CMOS RF IC Design Principles Session Delivered by: D. Varun 1 Session Topics Standards RF wireless communications Multi standard RF transceivers RF front end architectures Frequency down conversion

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

The GBTIA, a 5 Gbit/s Radiation-Hard Optical Receiver for the SLHC Upgrades

The GBTIA, a 5 Gbit/s Radiation-Hard Optical Receiver for the SLHC Upgrades The GBTIA, a 5 Gbit/s Radiation-Hard Optical Receiver for the SLHC Upgrades M. Menouni a, P. Gui b, P. Moreira c a CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France b SMU, Southern Methodist

More information

THE power/ground line noise due to the parasitic inductance

THE power/ground line noise due to the parasitic inductance 260 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 2, FEBRUARY 1998 Noise Suppression Scheme for Gigabit-Scale and Gigabyte/s Data-Rate LSI s Daisaburo Takashima, Yukihito Oowaki, Shigeyoshi Watanabe,

More information

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi High Speed Design Issues and Jitter Estimation Techniques Jai Narayan Tripathi (jainarayan.tripathi@st.com) Outline Part 1 High-speed Design Issues Signal Integrity Power Integrity Jitter Power Delivery

More information

AM BASIC ELECTRONICS TRANSMISSION LINES JANUARY 2012 DEPARTMENT OF THE ARMY MILITARY AUXILIARY RADIO SYSTEM FORT HUACHUCA ARIZONA

AM BASIC ELECTRONICS TRANSMISSION LINES JANUARY 2012 DEPARTMENT OF THE ARMY MILITARY AUXILIARY RADIO SYSTEM FORT HUACHUCA ARIZONA AM 5-306 BASIC ELECTRONICS TRANSMISSION LINES JANUARY 2012 DISTRIBUTION RESTRICTION: Approved for Pubic Release. Distribution is unlimited. DEPARTMENT OF THE ARMY MILITARY AUXILIARY RADIO SYSTEM FORT HUACHUCA

More information

RF Devices and RF Circuit Design for Digital Communication

RF Devices and RF Circuit Design for Digital Communication RF Devices and RF Circuit Design for Digital Communication Agenda Fundamentals of RF Circuits Transmission ine Reflection Coefficient & Smith Chart Impedance Matching S-matrix Representation Amplifiers

More information

Energy Efficient Circuit Design and the Future of Power Delivery

Energy Efficient Circuit Design and the Future of Power Delivery Energy Efficient Circuit Design and the Future of Power Delivery Greg Taylor EPEPS 2009 Outline Looking back Energy efficiency in CMOS Side effects Suggestions Conclusion 2 Looking Back Microprocessor

More information

+3.3V, 2.5Gbps Quad Transimpedance Amplifier for System Interconnects

+3.3V, 2.5Gbps Quad Transimpedance Amplifier for System Interconnects 19-1855 Rev 0; 11/00 +3.3V, 2.5Gbps Quad Transimpedance Amplifier General Description The is a quad transimpedance amplifier (TIA) intended for 2.5Gbps system interconnect applications. Each of the four

More information