A walk in the NanoPark - Practical Paths to Molecular Computers

Size: px
Start display at page:

Download "A walk in the NanoPark - Practical Paths to Molecular Computers"

Transcription

1 A walk in the NanoPark - Practical Paths to Molecular Computers Paul D. Franzon, with many others (acknowledged within, especially David Nackashi and Christian Amsink) North Carolina State University Department of Electrical and Computer Engineering paul_franzon@ncsu.edu

2 Outline > The End Of The Silicon Roadmap > The Nanotechnology Promise > Molecular Circuit Elements > The Challenges Integration Signal Integrity > Integration Alternatives The Tour-Reed Nanocell The NRL Cow Pea virus > Circuit Design for Robustness Tour-Reed molecules as circuit elements Closing the model loop Circuit Design Examples > Looking Forward 2

3 The End of the Silicon Roadmap > The 35nm Technology Node Around 2014, we are expected to reach the 35nm Technology Node Gate oxide tunnelling limit This Technology Node includes 20-22nm transistor gate lengths Current state-of-the-art fabrication facility costs are approaching $2 billion per plant! From the 1999 International Technology Roadmap for Semiconductors: with the 1999 edition, we are reaching the point where the horizon of the Roadmap approximately coincides with the most optimistic projections for continued scaling of CMOS (for example, MOSFET channel lengths of 20nm). Sources : ITRS, Proc. IEEE 3/2001 3

4 The Nanotechnology Promise A set of patterning and characterization technologies on the nanometer scale 1. Chemical Synthesis OMe NC SAc X AcS OMe NC AcS Relatively Easy Y SAc AcS NC OMe NC OMe Courtesy of J. C. Ellenbogen SAc Difficult 4

5 Nanotechnologies (2) 2. Nano-structured biological Materials Viruses, DNA, etc. 31 nm 314 Å Cow Pea Mosaic Virus Courtesy : Shashidar and Ratner, NRL 5

6 Nanotechnologies (3) 3. Random and directed self-assembly Gold nanoparticles randomly assembled on oxide surface Selective attachment based on chemistry Chemical crystals 6

7 Nanotechnologies (4) 4. Inorganic nanostructures CD = 100 nm Pitch = 360 nm From: Sone et.al., Nanofabrication toward sub-10nmand its application to novel nanodevices, Nanotechnology v10 (1999). From: Chen et.al., Two-dimensional arrangement of octadecylaminefunctionalized gold nanoparticles using the LB technique, Nanotechnology v11 (2000). 7

8 Nanotechnologies (5) 5. Carbon Nanotubes Possibility as nano interconnect 6. AFM and STM characterization Courtesy of Schönenberger and Forró Å Å Å Å Å Å Å Å Courtesy of Paul Weiss Courtesy of Shashidar and Ratner 8

9 Molecular Circuit Elements > Two Terminal Devices Rectifying diodes Diodes exhibiting Negative Differential Resistance (NDR) Wires Resistors Settable / Re-settable Devices > Three terminal devices Chemical synthesis possible Electrical testing is quite difficult Courtesy of Veena Misra 9

10 Mol Devices > Origins: Basic molecular device & self-assembly concepts (US ( 95), US ( 96)) Single molecule transport ( 96) First molecular devices ( 97) 1997 Courtesy : Mark Reed 1.2n 800.0p I (A) 400.0p 0.0 Summer 1999 J = 53 A/cm 2 NDR = -380 µω-cm 2 T= 60 K J ~ 50 A/cm 2 NDR ~ -380 µω-cm 2 I peak = 1.03 na V Current (A) Fall 1n p 500p 250p I valley = 1 pa Temperature RT Voltage (V) 10

11 Planar devices, ambient NDR & memory Current (A) 800.0µ 600.0µ 400.0µ 200.0µ µ µ Winter 2000 Current (A) 800.0µ first trace T = 300K 700.0µ second trace 600.0µ 500.0µ 400.0µ 300.0µ 200.0µ 100.0µ µ Voltage (V) µ Voltage (V) Courtesy : Mark Reed 11

12 Principles of Operation > n-channel vs. p-channel Most organics tend to be p-channel devices It tends to be easier to oxidize organic molecules than to reduce them C 60 is an exception and can be easily reduced, therefore functioning as an n-channel device > Metal terminal attachment Proper matching of work functions is critical when choosing an attachment chemistry The common thiol-gold attachment has been shown to be quite resistive > Matching of Fermi and Homo/Lumo levels 12

13 The Challenges > Have some switches but also need > Integration Technology Orderable Interconnect To create custom logic To create regular arrays (memory) Low-resistance interconnect > Robustness Signal Integrity Restoring Logic Circuit Structures Input/Output Isolation Parasitic Control 13

14 Integration-Scale Issues > Must avoid Using regular lithography Using MOSFET gates Chip level Cell level 2.2nm X AcS SAc Y Gate width is 0.18µm (180nm) Small array (Only M1 and M2 shown) Single transistor Cluster of transistors (Only M1 and M2 shown) 14

15 Scale end of roadmap 22 nm 2.2 nm 120 nm 15

16 Not just wires > Ideal circuit Transistor = switch Wire = invisible O.1-10 Ω Gate O Ω (off) 500-5,000 Ω (on) > 1,000 : 1 Rdevice : Rwire ratio O.1-10 Ω 16

17 Robustness Signal Integrity > Explicit design and modeling so as to withstand Modeled noise sources Parametric variations CMOS +/- 20% Temperature -55 C to C > Introduction of design margin so as to withstand Unmodeled noise sources Unmodeled parametric variations 17

18 Robustness. Signal Integrity > Unlike Analog circuits, digital circuits reject noise Logic restoration

19 How Digital Ckts reject noise 1. Non-linear gain in the logic device Vin Vout Vout > Noise Margin provided Vin V IL V OL Noise Margin 20

20 How to achieve circuit gain. > Easiest to achieve with (non-linear) transistor gain: CMOS : Iout = g m Vin Bipolar : Iout = β Iin β, g m >> 1 Vin Iout Iin Iout > Can also achieve with Goto pairs (back to back NDRs) > Can also achieve with clocks and proper use of non-linear non-gain devices 21

21 How Digital Ckts reject noise? (2) > High Transimpedance and Impedance isolation Vin, Iin Z12 Vout, Iout > Desire Zin Z12 as high as possible Zin/Zout as high as possible Zout δvin Zin = δiin δvout Zout = δiout δvout Z12 = δiin 22

22 Why? Need to be able to connect gates together! > Unloaded Gate: > Loaded Gate: V OL V IL 0? 1?? V OL V IL 23

23 How? > In conventional circuits, associated with gain and isolation 1,000 Ω Iin=10µA Iin=10µA Vout is independent of Iin Vout=1 V Z12 = infinity! Z12=100,000 Ω 24

24 How? > With two terminal devices more difficult Rely on rectifying diodes I V I V Not the preferred choice 25

25 Other Robustness Issues > Power/ground integrity Device : Wire resistance ratio > 1,000 : 1 Interaction between stray inductance and non-linear devices can lead to oscillations > Device parametric variation Often +/- 20% in CMOS;?? in Nano > Parasitics Speed limited by Device Resistance * inter-device capacitance Capacitance vs. # of Neighbors 3.00E E E E E E E

26 Outline > The End Of The Silicon Roadmap > The Nanotechnology Promise > Molecular Circuit Elements > The Challenges Integration Signal Integrity > Integration Alternatives The Tour-Reed Nanocell The NRL Cow Pea virus > Circuit Design for Robustness Tour-Reed molecules as circuit elements Closing the model loop Circuit Design Examples > Looking Forward 27

27 VanZandt-Tour Tour-Reed Nanocell > Random self-assembly Input terminals Output terminals Self-assembling molecular switches (two types) Nanoparticle Work originated by B. Van Zandt, J.M. Tour, Rice University. Courtesy of David Allera and Philipp Harder, PSU 28

28 VanZandt-Tour Tour-Reed Nanocell Order from Disorder > Construction concept Randomly constructed > Program through exploration Program to achieve complex logic function Reprogram device states from edge Measure response at edge Program Measure Cycle 29

29 NRL Cowpea Mosaic Virus > CPMV functions as scaffold u attach metal balls to functionalized faces u assemble molecules between balls u work with symmetries forced by virus structure > Symmetric properties suggest memory structures 30

30 Outline > The End Of The Silicon Roadmap > The Nanotechnology Promise > Molecular Circuit Elements > The Challenges Integration Signal Integrity > Integration Alternatives The Tour-Reed Nanocell The NRL Cow Pea virus > Circuit Design for Robustness Tour-Reed molecules as circuit elements Closing the model loop Circuit Design Examples > Looking Forward 31

31 Role as Circuit Designer > The middleman Physical Architectures Circuit Topologies Logical Architectures Molecular Devices Au (2) (2) Spice Modeling NO2 S Au 32

32 NDR Device Model > I-V data points provided by Jia Chen / Mark Reed - Yale University > Model was created using a piecewise linear (PWL) function in HSPICE (G element) > Transient responses not included in this model 33

33 Device Characterization > Designed characterization structures specifically designed to support circuit design Single sample IV sweeps insufficient for full design > In fabrication now 34

34 Bistable Device Design Adapted from J.Huber et al., IEEE Trans. Electron. Devices 44, 2149 (1997) Strategy > Utilize the negative resistance to locate two stable points of operation > Results in Memory Element Design > Load the molecular diode with a voltage source and current-limiting resistor (R0, D0) 35

35 NAND Gate Design NAND truth table A B F I bridge Rectifying diode for isolation * I out > 2-Input Current-mode NAND gate > Gate is first reset to place the NDR diodes in the low impedance state At this state, I out is 500pA and I bridge is 0pA > If both input currents reach threshold, the output transitions from a low impedance state to a high impedance state At this state, I out is 1-2 pa > Output capacitance/resistance are used to simulate loading conditions * Circuit adapted from Chow, Principles of Tunnel Diode Circuits, (1964) Output Load Rectifying diode from C.Zhou, M.R.Deshpande, M.A.Reed, J.M.Tour, Nanoscale metal/self-assembled monolayer/metal heterostructures, Appl. Phys. Lett., vol.71,pp ,

36 NAND Gate Design > Gate is reset before each evaluation period > An input/output 1 is equivalent to a 500pA current > An input/output 0 is equivalent to a 1-2pA current > The gate evaluates the currents at both inputs. If both inputs are at or beyond threshold at any time, a transition is made to the low current state. > These waveforms were extracted through simulation without rectifying diodes 37

37 NOR Gate Design > Transition from high to low occurs abruptly at an input current of 300pA > Even as input current ramps up, the output current does not appreciatively increase > Helps to minimize noise from propagating through the gate 38

38 Memory Arrays Memory Cell > A memory cell is constructed from a bistable latch > State 0 : Low Voltage/ High Current > State 1 : High Voltage / Low Current > Data to be written is placed on horizontal write lines (W0, W1) > Data read is taken from the lower read lines (RD0,RD1) > Cells are written in multi-bit words using the vertical readwrite lines (RW0,RW1) Adapted from Chang, Parametric and Tunnel Diodes, (1964) 39

39 Memory Arrays > A reset signal is sent by lowering the RW line to 2.0V > A write signal is sent by raising the RW line to 2.6V > Data words to be written into memory are placed on the RW bus > The internal nodes of the bistable latch hold the values > Data is read by lowering the RW line to 2.2V and reading the RD bus 40

40 Circuits Discussion > Have simulated fan-out; oscillation-free > Tight margins < 5% Wiring resistance could easily dominate Unclear if these resistors are buildable > Difficult CMOS interface > Margins improved by Bistable devices (e.g DiNitro) Margin approaches Bistability Spread Increased current density (e.g. DiNitro) More device types with isolation E.g. Gain devices > C.f. CMOS end game : ~ 50 transistors/µm2 43

41 Memory Discussion > Silicon DRAM density Today : 8 bits / µm 2 >200 bits / µm 2 at end of road map > SRAM built using Cow Pea Virus >4,000 bits / µm 2 / layer > Integration Problem Requires interface wires at < 10 nm pitch 30 nm pitch wires still gives 1,000 bits / µm 2 Common problem across many proposed structures Nano Lithographic 44

42 Memory (2) > Signal Integrity Issues 0.1 V noise margin in structure above Improved to 1.6 V with bistable dinitro circuit > Scaling Limits Wiring resistance : device resistance On : off ratio for bistable devices Exploring ways around these limits > Memory Circuit Requirements ~60 fc charge per bit About 35,000 electronics 50 mv swing required for sensing 45

43 Looking Forward > My wish list Designable nano-interconnect technology that can pitch match to CMOS and have low resistance 3-terminal devices with gain and/or isolation Greater current densities Lower contact resistance Higher on-off ratios for bistable devices Better rectifiers Greater range to breakdown > Major issues Characterization Robust circuit design 46

44 Conclusions > Devices = Computers > Need to expand nanotechnology integration toolkit > Need to expand molecular switch capabilities > Need to develop robust circuit topologies > Aim beyond the end of the CMOS roadmap Peak Densitiesi: 2 x logic transistors / cm 2 81 x dram cells / cm 2 > Too many compromises in most of today s molecular computing proposals Lead to lower equivalent densities than above 47

45 Scaling Issues > Don t give ground easily As Fabricated >10 12 devices Defects Defect Tolerance Simple Architecture 10 9 No wires MHz

ECE, Box 7914, NCSU, Raleigh NC ABSTRACT 1. INTRODUCTION

ECE, Box 7914, NCSU, Raleigh NC ABSTRACT 1. INTRODUCTION header for SPIE use Molectronics: A circuit design perspective David P. Nackashi a, Paul D. Franzon* a a Dept. of Electrical and Computer Engineering, North Carolina State University ECE, Box 7914, NCSU,

More information

NanoFabrics: : Spatial Computing Using Molecular Electronics

NanoFabrics: : Spatial Computing Using Molecular Electronics NanoFabrics: : Spatial Computing Using Molecular Electronics Seth Copen Goldstein and Mihai Budiu Computer Architecture, 2001. Proceedings. 28th Annual International Symposium on 30 June-4 4 July 2001

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

Lecture 11 Circuits numériques (I) L'inverseur

Lecture 11 Circuits numériques (I) L'inverseur Lecture 11 Circuits numériques (I) L'inverseur Outline Introduction to digital circuits The inverter NMOS inverter with resistor pull-up 6.12 Spring 24 Lecture 11 1 1. Introduction to digital circuits:

More information

Lecture 11 Digital Circuits (I) THE INVERTER

Lecture 11 Digital Circuits (I) THE INVERTER Lecture 11 Digital Circuits (I) THE INVERTER Outline Introduction to digital circuits The inverter NMOS inverter with resistor pull-up Reading Assignment: Howe and Sodini; Chapter 5, Sections 5.1-5.3 6.12

More information

1 Introduction

1 Introduction Published in Micro & Nano Letters Received on 9th April 2008 Revised on 27th May 2008 ISSN 1750-0443 Design of a transmission gate based CMOL memory array Z. Abid M. Barua A. Alma aitah Department of Electrical

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA Fab-in in-a-box: Direct-write write-nanocircuits Jaebum Joo and Joseph M. Jacobson Massachusetts Institute of Technology, Cambridge, MA April 17, 2008 Avogadro Scale Computing / 1 Avogadro number s? Intel

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

Nano-crystalline Oxide Semiconductor Materials for Semiconductor and Display Technology Sanghun Jeon Ph.D. Associate Professor

Nano-crystalline Oxide Semiconductor Materials for Semiconductor and Display Technology Sanghun Jeon Ph.D. Associate Professor Nano-crystalline Oxide Semiconductor Materials for Semiconductor and Display Technology Sanghun Jeon Ph.D. Associate Professor Department of Applied Physics Korea University Personnel Profile (Affiliation

More information

I DDQ Current Testing

I DDQ Current Testing I DDQ Current Testing Motivation Early 99 s Fabrication Line had 5 to defects per million (dpm) chips IBM wanted to get 3.4 defects per million (dpm) chips Conventional way to reduce defects: Increasing

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

電子電路. Memory and Advanced Digital Circuits

電子電路. Memory and Advanced Digital Circuits 電子電路 Memory and Advanced Digital Circuits Hsun-Hsiang Chen ( 陳勛祥 ) Department of Electronic Engineering National Changhua University of Education Email: chenhh@cc.ncue.edu.tw Spring 2010 2 Reference Microelectronic

More information

CMOL: Hybrid of CMOS with Overlaid Nanogrid and Nanodevice Structure. John Zacharkow

CMOL: Hybrid of CMOS with Overlaid Nanogrid and Nanodevice Structure. John Zacharkow CMOL: Hybrid of CMOS with Overlaid Nanogrid and Nanodevice Structure John Zacharkow Overview Introduction Background CMOS Review CMOL Breakdown Benefits/Shortcoming Looking into the Future Introduction

More information

Study and Simulation of Fault Tolerant Quantum Cellular Automata Structures

Study and Simulation of Fault Tolerant Quantum Cellular Automata Structures Study and Simulation of Fault Tolerant Quantum Cellular Automata Structures Dr. E.N.Ganesh, 2 R.Kaushik Ragavan, M.Krishna Kumar and V.Krishnan Abstract Quantum cellular automata (QCA) is a new technology

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3 EE141 Fall 2005 Lecture 2 Design Metrics Admin Page Everyone should have a UNIX account on Cory! This will allow you to run HSPICE! If you do not have an account, check: http://www-inst.eecs.berkeley.edu/usr/

More information

Efficient logic architectures for CMOL nanoelectronic circuits

Efficient logic architectures for CMOL nanoelectronic circuits Efficient logic architectures for CMOL nanoelectronic circuits C. Dong, W. Wang and S. Haruehanroengra Abstract: CMOS molecular (CMOL) circuits promise great opportunities for future hybrid nanoscale IC

More information

Introduction to Electronic Devices

Introduction to Electronic Devices (Course Number 300331) Fall 2006 Instructor: Dr. Dietmar Knipp Assistant Professor of Electrical Engineering Information: http://www.faculty.iubremen.de/dknipp/ Source: Apple Ref.: Apple Ref.: IBM Critical

More information

Lecture Introduction

Lecture Introduction Lecture 1 6.012 Introduction 1. Overview of 6.012 Outline 2. Key conclusions of 6.012 Reading Assignment: Howe and Sodini, Chapter 1 6.012 Electronic Devices and Circuits-Fall 200 Lecture 1 1 Overview

More information

Acheiving Maximum Power for PoE Plus. Steve Robbins

Acheiving Maximum Power for PoE Plus. Steve Robbins Acheiving Maximum Power for PoE Plus Steve Robbins 1 Acknowledgements Thanks to: Joe DeNicholas, National Semiconductor Hank Hinrichs, Pulse Engineering Introduction Presentation Objectives Show why ACB

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

Nanowire-Based Programmable Architectures

Nanowire-Based Programmable Architectures Nanowire-Based Programmable Architectures ANDR E E DEHON ACM Journal on Emerging Technologies in Computing Systems, Vol. 1, No. 2, July 2005, Pages 109 162 162 INTRODUCTION Goal : to develop nanowire-based

More information

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits In this lab, we will be looking at ac signals with MOSFET circuits and digital electronics. The experiments will be performed

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

In this experiment you will study the characteristics of a CMOS NAND gate.

In this experiment you will study the characteristics of a CMOS NAND gate. Introduction Be sure to print a copy of Experiment #12 and bring it with you to lab. There will not be any experiment copies available in the lab. Also bring graph paper (cm cm is best). Purpose In this

More information

A Brief Introduction to Single Electron Transistors. December 18, 2011

A Brief Introduction to Single Electron Transistors. December 18, 2011 A Brief Introduction to Single Electron Transistors Diogo AGUIAM OBRECZÁN Vince December 18, 2011 1 Abstract Transistor integration has come a long way since Moore s Law was first mentioned and current

More information

Stacked-FET linear SOI CMOS SPDT antenna switch with input P1dB greater than

Stacked-FET linear SOI CMOS SPDT antenna switch with input P1dB greater than LETTER IEICE Electronics Express, Vol.9, No.24, 1813 1822 Stacked-FET linear SOI CMOS SPDT antenna switch with input P1dB greater than 40 dbm Donggu Im 1a) and Kwyro Lee 1,2 1 Department of EE, Korea Advanced

More information

Selected Topics in Nanoelectronics. Danny Porath 2002

Selected Topics in Nanoelectronics. Danny Porath 2002 Selected Topics in Nanoelectronics Danny Porath 2002 Links to NST http://www.foresight.org/ http://itri.loyola.edu/nanobase/ http://www.zyvex.com/nano/ http://www.nano.gov/ http://www.aeiveos.com/nanotech/

More information

QUICKSWITCH BASICS AND APPLICATIONS

QUICKSWITCH BASICS AND APPLICATIONS QUICKSWITCH GENERAL INFORMATION QUICKSWITCH BASICS AND APPLICATIONS INTRODUCTION The QuickSwitch family of FET switches was pioneered in 1990 to offer designers products for high-speed bus connection and

More information

Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates

Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates Learning Outcome: an ability to analyze and design CMOS logic gates Learning Objectives: 1-1. convert numbers from one base (radix) to another:

More information

Fabrication and Characterization of Emerging Nanoscale Memory

Fabrication and Characterization of Emerging Nanoscale Memory Fabrication and Characterization of Emerging Nanoscale Memory Yuan Zhang, SangBum Kim, Byoungil Lee, Marissa Caldwell(*), and (*) Chemistry Department Stanford University, Stanford, California, U.S.A.

More information

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2 Design Space Exploration for Robust Power Delivery in TSV Based 3-D Systems-on-Chip Suhas M. Satheesh High-Speed Fabrics Team NVIDIA Santa Clara, California 955 ssatheesh@nvidia.com Emre Salman Department

More information

DUAL ULTRA MICROPOWER RAIL-TO-RAIL CMOS OPERATIONAL AMPLIFIER

DUAL ULTRA MICROPOWER RAIL-TO-RAIL CMOS OPERATIONAL AMPLIFIER ADVANCED LINEAR DEVICES, INC. ALD276A/ALD276B ALD276 DUAL ULTRA MICROPOWER RAILTORAIL CMOS OPERATIONAL AMPLIFIER GENERAL DESCRIPTION The ALD276 is a dual monolithic CMOS micropower high slewrate operational

More information

Chapter 13 Oscillators and Data Converters

Chapter 13 Oscillators and Data Converters Chapter 13 Oscillators and Data Converters 13.1 General Considerations 13.2 Ring Oscillators 13.3 LC Oscillators 13.4 Phase Shift Oscillator 13.5 Wien-Bridge Oscillator 13.6 Crystal Oscillators 13.7 Chapter

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

Functional Integration of Parallel Counters Based on Quantum-Effect Devices

Functional Integration of Parallel Counters Based on Quantum-Effect Devices Proceedings of the th IMACS World Congress (ol. ), Berlin, August 997, Special Session on Computer Arithmetic, pp. 7-78 Functional Integration of Parallel Counters Based on Quantum-Effect Devices Christian

More information

Nanoelectronics and the Future of Microelectronics

Nanoelectronics and the Future of Microelectronics Nanoelectronics and the Future of Microelectronics Mark Lundstrom Electrical and Computer Engineering University, West Lafayette, IN August 22, 2002 1. Introduction 2. Challenges in Silicon Technology

More information

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions. Introduction - Chapter 1 Evolution of IC Fabrication 1960 and 1990 integrated t circuits. it Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity

More information

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator A. T. Fathima Thuslim Department of Electronics and communication Engineering St. Peters University, Avadi, Chennai, India Abstract: Single

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

CMOS VLSI Design (A3425)

CMOS VLSI Design (A3425) CMOS VLSI Design (A3425) Unit V Dynamic Logic Concept Circuits Contents Charge Leakage Charge Sharing The Dynamic RAM Cell Clocks and Synchronization Clocked-CMOS Clock Generation Circuits Communication

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

Variation and Defect Tolerance for Nano Crossbars. Cihan Tunc

Variation and Defect Tolerance for Nano Crossbars. Cihan Tunc Variation and Defect Tolerance for Nano Crossbars A Thesis Presented by Cihan Tunc to The Department of Electrical and Computer Engineering in partial fulfillment of the requirements for the degree of

More information

SOLIMAN A. MAHMOUD Department of Electrical Engineering, Faculty of Engineering, Cairo University, Fayoum, Egypt

SOLIMAN A. MAHMOUD Department of Electrical Engineering, Faculty of Engineering, Cairo University, Fayoum, Egypt Journal of Circuits, Systems, and Computers Vol. 14, No. 4 (2005) 667 684 c World Scientific Publishing Company DIGITALLY CONTROLLED CMOS BALANCED OUTPUT TRANSCONDUCTOR AND APPLICATION TO VARIABLE GAIN

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

1 Digital EE141 Integrated Circuits 2nd Introduction

1 Digital EE141 Integrated Circuits 2nd Introduction Digital Integrated Circuits Introduction 1 What is this lecture about? Introduction to digital integrated circuits + low power circuits Issues in digital design The CMOS inverter Combinational logic structures

More information

Logic circuits based on carbon nanotubes

Logic circuits based on carbon nanotubes Available online at www.sciencedirect.com Physica E 16 (23) 42 46 www.elsevier.com/locate/physe Logic circuits based on carbon nanotubes A. Bachtold a;b;, P. Hadley a, T. Nakanishi a, C. Dekker a a Department

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

Title. Author(s)Uemura, T.; Baba, T. CitationIEEE Transactions on Electron Devices, 49(8): Issue Date Doc URL. Rights.

Title. Author(s)Uemura, T.; Baba, T. CitationIEEE Transactions on Electron Devices, 49(8): Issue Date Doc URL. Rights. Title A three-valued D-flip-flop and shift register using Author(s)Uemura, T.; Baba, T. CitationIEEE Transactions on Electron Devices, 49(8): 1336-1 Issue Date 2002-08 Doc URL http://hdl.handle.net/2115/5577

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

Implementation of Quantum dot Cellular Automata based Multiplexer on FPGA

Implementation of Quantum dot Cellular Automata based Multiplexer on FPGA Implementation of Quantum dot Cellular Automata based Multiplexer on FPGA B.Ramesh 1, Dr. M. Asha Rani 2 1 Associate Professor, 2 Professor, Department of ECE Kamala Institute of Technology & Science,

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

Using ICEM Model Expert to Predict TC1796 Conducted Emission

Using ICEM Model Expert to Predict TC1796 Conducted Emission Using ICEM Model Expert to Predict TC1796 Conducted Emission E. Sicard (1), L. Bouhouch (2) (1) INSA-GEI, 135 Av de Rangueil 31077 Toulouse France (2) ESTA Agadir, Morroco Contact : etienne.sicard@insa-toulouse.fr

More information

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency Jamie E. Reinhold December 15, 2011 Abstract The design, simulation and layout of a UMAINE ECE Morse code Read Only Memory and transmitter

More information

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis Microcontroller Systems ELET 3232 Topic 13: Load Analysis 1 Objective To understand hardware constraints on embedded systems Define: Noise Margins Load Currents and Fanout Capacitive Loads Transmission

More information

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects International Journal of Scientific and Research Publications, Volume 3, Issue 9, September 2013 1 A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip

More information

Power dissipation in CMOS

Power dissipation in CMOS DC Current in For V IN < V TN, N O is cut off and I DD = 0. For V TN < V IN < V DD /2, N O is saturated. For V DD /2 < V IN < V DD +V TP, P O is saturated. For V IN > V DD + V TP, P O is cut off and I

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

Towards a Reconfigurable Nanocomputer Platform

Towards a Reconfigurable Nanocomputer Platform Towards a Reconfigurable Nanocomputer Platform Paul Beckett School of Electrical and Computer Engineering RMIT University Melbourne, Australia 1 The Nanoscale Cambrian Explosion Disparity: Widerangeof

More information

Lecture 12 - Digital Circuits (I) The inverter. October 20, 2005

Lecture 12 - Digital Circuits (I) The inverter. October 20, 2005 6.12 - Microelectronic Devices and Circuits - Fall 25 Lecture 12-1 Lecture 12 - Digital Circuits (I) The inverter October 2, 25 Contents: 1. Introduction to digital electronics: the inverter 2. NMOS inverter

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

QUAD 5V RAIL-TO-RAIL PRECISION OPERATIONAL AMPLIFIER

QUAD 5V RAIL-TO-RAIL PRECISION OPERATIONAL AMPLIFIER ADVANCED LINEAR DEVICES, INC. ALD472A/ALD472B ALD472 QUAD 5V RAILTORAIL PRECISION OPERATIONAL AMPLIFIER GENERAL DESCRIPTION The ALD472 is a quad monolithic precision CMOS railtorail operational amplifier

More information

Lecture 6. Technology Trends and Modeling Pitfalls: Transistors in the real world

Lecture 6. Technology Trends and Modeling Pitfalls: Transistors in the real world Lecture 6 Technology Trends and Modeling Pitfalls: Transistors in the real world Guest lecturer: Jared Zerbe Rambus Inc jared@rambus.com Copyright 2004 by Mark Horowitz Some Figures courtesy of C. Enz,

More information

IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 03, 2014 ISSN (online):

IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 03, 2014 ISSN (online): IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 03, 2014 ISSN (online): 2321-0613 Implementation of Ternary Logic Gates using CNTFET Rahul A. Kashyap 1 1 Department of

More information

Transistor Digital Circuits

Transistor Digital Circuits Recapitulation Transistor Digital Circuits The transistor Operating principle and regions Utilization of the transistor Transfer characteristics, symbols Controlled switch model BJT digital circuits MOSFET

More information

Low-Noise Amplifiers

Low-Noise Amplifiers 007/Oct 4, 31 1 General Considerations Noise Figure Low-Noise Amplifiers Table 6.1 Typical LNA characteristics in heterodyne systems. NF IIP 3 db 10 dbm Gain 15 db Input and Output Impedance 50 Ω Input

More information

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

Lab 7 (Hands-On Experiment): CMOS Inverter, NAND Gate, and NOR Gate

Lab 7 (Hands-On Experiment): CMOS Inverter, NAND Gate, and NOR Gate Lab 7 (Hands-On Experiment): CMOS Inverter, NAND Gate, and NOR Gate EECS 170LB, Wed. 5:00 PM TA: Elsharkasy, Wael Ryan Morrison Buu Truong Jonathan Lam 03/05/14 Introduction The purpose of this lab is

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R05310402 Set No. 1 1. (a) What are the parameters that are necessary to define the electrical characteristics of CMOS circuits? Mention the typical values of a CMOS NAND gate. (b) Design a CMOS

More information

Fundamentals of Power Semiconductor Devices

Fundamentals of Power Semiconductor Devices В. Jayant Baliga Fundamentals of Power Semiconductor Devices 4y Spri ringer Contents Preface vii Chapter 1 Introduction 1 1.1 Ideal and Typical Power Switching Waveforms 3 1.2 Ideal and Typical Power Device

More information

Ambipolar electronics

Ambipolar electronics Ambipolar electronics Xuebei Yang and Kartik Mohanram Department of Electrical and Computer Engineering, Rice University, Houston {xy3,mr11,kmram}@rice.edu Rice University Technical Report TREE12 March

More information

Adaptive Intelligent Parallel IGBT Module Gate Drivers Robin Lyle, Vincent Dong, Amantys Presented at PCIM Asia June 2014

Adaptive Intelligent Parallel IGBT Module Gate Drivers Robin Lyle, Vincent Dong, Amantys Presented at PCIM Asia June 2014 Adaptive Intelligent Parallel IGBT Module Gate Drivers Robin Lyle, Vincent Dong, Amantys Presented at PCIM Asia June 2014 Abstract In recent years, the demand for system topologies incorporating high power

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

AD MHz, 20 V/μs, G = 1, 10, 100, 1000 i CMOS Programmable Gain Instrumentation Amplifier. Preliminary Technical Data FEATURES

AD MHz, 20 V/μs, G = 1, 10, 100, 1000 i CMOS Programmable Gain Instrumentation Amplifier. Preliminary Technical Data FEATURES Preliminary Technical Data 0 MHz, 20 V/μs, G =, 0, 00, 000 i CMOS Programmable Gain Instrumentation Amplifier FEATURES Small package: 0-lead MSOP Programmable gains:, 0, 00, 000 Digital or pin-programmable

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits Noise in Digital Integrated Circuits Lecture 4 The CMOS Inverter i(t) v(t) V DD Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail:

More information

HA-2600, HA Features. 12MHz, High Input Impedance Operational Amplifiers. Applications. Pinouts. Ordering Information

HA-2600, HA Features. 12MHz, High Input Impedance Operational Amplifiers. Applications. Pinouts. Ordering Information HA26, HA26 September 998 File Number 292.3 2MHz, High Input Impedance Operational Amplifiers HA26/26 are internally compensated bipolar operational amplifiers that feature very high input impedance (MΩ,

More information

ELEN6350. Summary: High Dynamic Range Photodetector Hassan Eddrees, Matt Bajor

ELEN6350. Summary: High Dynamic Range Photodetector Hassan Eddrees, Matt Bajor ELEN6350 High Dynamic Range Photodetector Hassan Eddrees, Matt Bajor Summary: The use of image sensors presents several limitations for visible light spectrometers. Both CCD and CMOS one dimensional imagers

More information

Nanotechnology, the infrastructure, and IBM s research projects

Nanotechnology, the infrastructure, and IBM s research projects Nanotechnology, the infrastructure, and IBM s research projects Dr. Paul Seidler Coordinator Nanotechnology Center, IBM Research - Zurich Nanotechnology is the understanding and control of matter at dimensions

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP 1 B. Praveen Kumar, 2 G.Rajarajeshwari, 3 J.Anu Infancia 1, 2, 3 PG students / ECE, SNS College of Technology, Coimbatore, (India)

More information

CMOL Based Quaded Transistor NAND Gate Building Block of Robust Nano Architecture

CMOL Based Quaded Transistor NAND Gate Building Block of Robust Nano Architecture Journal of Electrical and Electronic Engineering 2017; 5(6): 242-249 http://www.sciencepublishinggroup.com/j/jeee doi: 10.11648/j.jeee.20170506.15 ISSN: 2329-1613 (Print); ISSN: 2329-1605 (Online) CMOL

More information

CHAPTER 4 CARBON NANOTUBE TRASISTOR BASED LOW POWER ANALOG ELECTRONIC CIRCUITS REALIZATION

CHAPTER 4 CARBON NANOTUBE TRASISTOR BASED LOW POWER ANALOG ELECTRONIC CIRCUITS REALIZATION 123 CHAPTER 4 CARBON NANOTUBE TRASISTOR BASED LOW POWER ANALOG ELECTRONIC CIRCUITS REALIZATION 4.1 INTRODUCTION Operational amplifiers (usually referred to as OPAMPs) are key elements of the analog and

More information

GS61008P Bottom-side cooled 100 V E-mode GaN transistor Preliminary Datasheet

GS61008P Bottom-side cooled 100 V E-mode GaN transistor Preliminary Datasheet Features 100 V enhancement mode power switch Bottom-side cooled configuration R DS(on) = 7 mω I DS(max) = 90 A Ultra-low FOM Island Technology die Low inductance GaNPX package Easy gate drive requirements

More information

Semiconductor Detector Systems

Semiconductor Detector Systems Semiconductor Detector Systems Helmuth Spieler Physics Division, Lawrence Berkeley National Laboratory OXFORD UNIVERSITY PRESS ix CONTENTS 1 Detector systems overview 1 1.1 Sensor 2 1.2 Preamplifier 3

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 CPE/EE 427, CPE 527 VLSI Design I L02: Design Metrics Department of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-03f

More information

Precision, Low-Power and Low-Noise Op Amp with RRIO

Precision, Low-Power and Low-Noise Op Amp with RRIO MAX41 General Description The MAX41 is a low-power, zero-drift operational amplifier available in a space-saving, 6-bump, wafer-level package (WLP). Designed for use in portable consumer, medical, and

More information

FUNDAMENTALS OF MODERN VLSI DEVICES

FUNDAMENTALS OF MODERN VLSI DEVICES 19-13- FUNDAMENTALS OF MODERN VLSI DEVICES YUAN TAUR TAK H. MING CAMBRIDGE UNIVERSITY PRESS Physical Constants and Unit Conversions List of Symbols Preface page xi xiii xxi 1 INTRODUCTION I 1.1 Evolution

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

Design of an array based row decoder and self-referencing sense amplifier for large scale resistance change style molecular memories.

Design of an array based row decoder and self-referencing sense amplifier for large scale resistance change style molecular memories. Abstract TYAGI, ITISHA. Design of an array based row decoder and self-referencing sense amplifier for large scale resistance change style molecular memories. (Under the guidance of Dr. Paul D. Franzon.)

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS -Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS Jiajun Shi, Mingyu Li and Csaba Andras Moritz Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA,

More information

Power MOSFET Zheng Yang (ERF 3017,

Power MOSFET Zheng Yang (ERF 3017, ECE442 Power Semiconductor Devices and Integrated Circuits Power MOSFET Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Evolution of low-voltage (

More information

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies Oct. 31, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy

More information