Clockless Circuits. CS150 Adam Megacz 5-May-2009

Size: px
Start display at page:

Download "Clockless Circuits. CS150 Adam Megacz 5-May-2009"

Transcription

1 lockless ircuits S50 Adam Megacz 5-May-2009

2 Outline lockless ircuits Signal Transition Graphs Muller Elements Foam Rubber Wrapper and Speed Independence Micropipelines KLA Demo 2

3 lockless ircuits ircuits without a clock Not synchronous Often called asynchronous (Warning: highly overloaded term!) 3

4 Are you nuts? 4

5 Are you nuts? Why get rid of clocks? 4

6 Are you nuts? Why get rid of clocks? locks use power even when no computation is taking place. 4

7 Are you nuts? Why get rid of clocks? locks use power even when no computation is taking place. lock trees consume area (repeaters and wires). 4

8 Are you nuts? Why get rid of clocks? locks use power even when no computation is taking place. lock trees consume area (repeaters and wires). Jitter limits maximum frequency of a widely-distributed clock. 4

9 Are you nuts? Why get rid of clocks? locks use power even when no computation is taking place. lock trees consume area (repeaters and wires). Jitter limits maximum frequency of a widely-distributed clock. EMI and power consumption profiles. 4

10 Are you nuts? Why get rid of clocks? locks use power even when no computation is taking place. lock trees consume area (repeaters and wires). Jitter limits maximum frequency of a widely-distributed clock. EMI and power consumption profiles. They link correctness to performance (ie delay). 4

11 Are you nuts? Why get rid of clocks? locks use power even when no computation is taking place. lock trees consume area (repeaters and wires). Jitter limits maximum frequency of a widely-distributed clock. EMI and power consumption profiles. They link correctness to performance (ie delay). Design does not meet timing => computes the wrong answer 4

12 Are you nuts? Why get rid of clocks? locks use power even when no computation is taking place. lock trees consume area (repeaters and wires). Jitter limits maximum frequency of a widely-distributed clock. EMI and power consumption profiles. They link correctness to performance (ie delay). Design does not meet timing => computes the wrong answer Robustness 4

13 Are you nuts? Why get rid of clocks? locks use power even when no computation is taking place. lock trees consume area (repeaters and wires). Jitter limits maximum frequency of a widely-distributed clock. EMI and power consumption profiles. They link correctness to performance (ie delay). Design does not meet timing => computes the wrong answer Robustness Room temperature changes => computes wrong answer 4

14 Are you nuts? Why get rid of clocks? locks use power even when no computation is taking place. lock trees consume area (repeaters and wires). Jitter limits maximum frequency of a widely-distributed clock. EMI and power consumption profiles. They link correctness to performance (ie delay). Design does not meet timing => computes the wrong answer Robustness Room temperature changes => computes wrong answer Voltage sags => computes wrong answer 4

15 Are you nuts? Why get rid of clocks? locks use power even when no computation is taking place. lock trees consume area (repeaters and wires). Jitter limits maximum frequency of a widely-distributed clock. EMI and power consumption profiles. They link correctness to performance (ie delay). Design does not meet timing => computes the wrong answer Robustness Room temperature changes => computes wrong answer Voltage sags => computes wrong answer Mildly defective (slow) transistor => computes wrong answer 4

16 Are you nuts? Why get rid of clocks? locks use power even when no computation is taking place. lock trees consume area (repeaters and wires). Jitter limits maximum frequency of a widely-distributed clock. EMI and power consumption profiles. They link correctness to performance (ie delay). Design does not meet timing => computes the wrong answer Robustness Room temperature changes => computes wrong answer Voltage sags => computes wrong answer Mildly defective (slow) transistor => computes wrong answer Expand your mind... fun to think about! 4

17 A Simple SR Latch set X X reset out 5

18 A Simple SR Latch set= X X reset=0 out 6

19 A Simple SR Latch set= X reset=0 out 7

20 A Simple SR Latch set= 0 reset=0 8

21 A Simple SR Latch set=0 X X reset= out 9

22 A Simple SR Latch set=0 0 X reset= out=0 0

23 A Simple SR Latch set=0 0 reset= out=0

24 A Simple SR Latch set=0 X X reset=0 out 2

25 A Simple SR Latch set=0 X X reset=0 out 3

26 Reasoning About the SR Latch This SR Latch is a clockless circuit How can we analyze it? State machines? FSM diagrams assume a clock Answer: Signal Transition Graphs set X reset X out 4

27 Signal Transition Graph out=0 set X reset X out 5

28 Signal Transition Graph out=0 reset= reset+ out=0 set X reset X out 6

29 Signal Transition Graph out=0 reset= resetreset+ out=0 set X reset X out 7

30 Signal Transition Graph out=0 reset= setout=0 set+ resetreset+ set= set X reset X out 8

31 Signal Transition Graph reset- out=0 reset= reset+ out=0 set+ out=0 set= set+ set= set- set X reset X out 9

32 Signal Transition Graph reset- out=0 reset= reset+ out=0 set+ out=0 set= reset+ set+ reset= set= set- set X reset X out 20

33 Signal Transition Graph reset- out=0 reset= reset+ out=0 set+ out=0 set= outout+ reset+ set+ reset= set= set- 2

34 Signal Transition Graph reset- out=0 reset= reset+ out=0 set+ out=0 set= outout+ reset+ set+ reset= reset- glitch! set- set= 22

35 Signal Transition Graph out=0 reset= set+ resetreset+ out=0 setglitch! out=0 set= outout+ reset+ set+ reset= reset- glitch! set- set= 23

36 Signal Transition Graph illegal! set+ out=0 reset= resetreset+ out=0 set+ out=0 set= reset+ illegal! out- out+ illegal! set+ reset= reset+ set+ set set= reset+ illegal! 24

37 Understanding a lockless ircuit.decide which inputs and outputs your module exposes to the environment (set, reset, out) module set reset out environment 25

38 Understanding a lockless ircuit.decide which inputs and outputs your module exposes to the environment (set, reset, out) 2. reate a Signal Transition Graph module set reset out environment 25

39 Understanding a lockless ircuit.decide which inputs and outputs your module exposes to the environment (set, reset, out) 2. reate a Signal Transition Graph 3.Determine which transitions are permitted and which are not. module set reset out environment 25

40 Understanding a lockless ircuit.decide which inputs and outputs your module exposes to the environment (set, reset, out) 2. reate a Signal Transition Graph 3.Determine which transitions are permitted and which are not. 4.Express this as a set of rules that the environment must follow: set and reset may never be high at the same time if out=0 and the environment raises set, it must hold it high until out rises if and the environment raises reset, it must hold it high until out falls set reset module environment out 25

41 Recap Understanding clockless systems Signal Transition Graphs instead of Mealy/Moore FSMs States determined by input/output levels At least one state for every possible combination of levels Arcs determined by input and output transitions... rather than input level when the clock hits Some transitions are forbidden Missing input transitions determine restrictions on environment Missing output transitions determine circuit s behavior So do real clockless system designers work with Signal Transition Graphs all day? 26

42 Recap Understanding clockless systems Signal Transition Graphs instead of Mealy/Moore FSMs States determined by input/output levels At least one state for every possible combination of levels Arcs determined by input and output transitions O(2 n)... rather than input level when the clock hits states! Some transitions are forbidden Missing input transitions determine restrictions on environment Missing output transitions determine circuit s behavior So do real clockless system designers work with Signal Transition Graphs all day? 26

43 Petri Nets, Process Algebras We re trying to design circuits Just a preview ircuits 27

44 Petri Nets, Process Algebras We re trying to design circuits Just a preview We can use Signal Transition Graphs, but they get big fast STGs ircuits 27

45 Petri Nets, Process Algebras We re trying to design circuits Just a preview We can use Signal Transition Graphs, but they get big fast Petri Nets (PNs) A more natural clockless FSM analogue Size proportional to the amount of relevant state in the system Very straightforward compilation from PN=>STG=>ircuit One tool for this is called PETRIFY Sadly, all interesting analyses on PNs are PSPAE-complete. PNs STGs ircuits 27

46 Petri Nets, Process Algebras We re trying to design circuits Just a preview We can use Signal Transition Graphs, but they get big fast Petri Nets (PNs) A more natural clockless FSM analogue Size proportional to the amount of relevant state in the system Very straightforward compilation from PN=>STG=>ircuit One tool for this is called PETRIFY Sadly, all interesting analyses on PNs are PSPAE-complete. Process Algebras This leads us to a variety of process algebras Higher level Often restricted in some way (ie exist some PNs with no corresponding PA term) to get tractable analysis Process Algebras PNs STGs ircuits Tangram, Haste, SP, S, HP, GasP diagrams, etc. 27

47 Announcements 28

48 Back to Signal Transition Graphs What does this STG describe? out=0 A+ B+ B+ A+ out=0 out=0 out=0 B- A- out+ out+ out+ B+ A+ B- A- out- A B? out A- B- 29

49 Back to Signal Transition Graphs What does this STG describe? out=0 A+ B+ B+ A+ out=0 out=0 out=0 B- A- out+ out+ out+ B+ A+ B- A- out- A B? out A- B- 29

50 Back to Signal Transition Graphs What if I delete the two green arcs? out=0 A+ B+ B+ A+ out=0 out=0 out=0 B- A- out+ out+ out+ B+ A+ B- A- out- A B? out A- B- 30

51 Back to Signal Transition Graphs What if I delete the two green arcs? out=0 A+ B+ B+ A+ out=0 out=0 out=0 B- A- out+ B+ A+ B- A- out- A B? out A- B- 30

52 Back to Signal Transition Graphs What if I delete the two green arcs? out=0 A+ B+ B+ A+ out=0 out=0 out=0 B- A- out+ B+ A+ B- A- out- A B? out A- B- Output rises when both inputs are high, falls when both are low. 30

53 Back to Signal Transition Graphs What if I delete the two green arcs? out=0 A+ B+ B+ A+ out=0 out=0 out=0 B- A- out+ B+ A+ B- A- out- A B? out A- B- Output rises when both inputs are high, falls when both are low. 30

54 Muller -Element Majority gate with output looped back A two-voter election with incumbent advantage in event of a tie majority gate c Muller Element c a 0 M = = a b a b b 0 0 c c 3

55 Foam Rubber Wrapper ritera for speed-independent circuits Adding or removing delay at the inputs or outputs of the circuit should never change behavior. Assuming the environment does not attempt transitions which are prohibited in the STG. Imagine a foam rubber wrapper around the circuit. Our SR latch is speed-independent for the given STG. Our OR gate is not foam set boundary reset out 32

56 Is our -Element Speed Independent? Environment asserts A+,B+,B- out=0 A+ B+ B+ A+ out=0 out=0 out=0 B- A- out+ B+ A+ B- A- out- A B? out A- B- 33

57 Is our -Element Speed Independent? Solution: remove (prohibit) some transitions out=0 A+ B+ B+ A+ out=0 out=0 out=0 B- A- out+ B+ A+ B- A- out- A B? out A- B- 34

58 Is our -Element Speed Independent? Solution: remove (prohibit) some transitions out=0 A+ B+ B+ A+ out=0 out=0 out=0 out+ out- A B? out B- A- A- B- 34

59 Threshold Gate (T-Gate) T-Gate is a generalization of OR and gates Gate output goes low when all inputs are low goes high when #threshold inputs are high rise threshold # inputs 35

60 Threshold Gate (T-Gate) T-Gate is a generalization of OR and gates Gate output goes low when all inputs are low goes high when #threshold inputs are high rise threshold # inputs 35

61 Threshold Gate (T-Gate) T-Gate is a generalization of OR and gates Gate output goes low when all inputs are low goes high when #threshold inputs are high rise threshold # inputs 35

62 Threshold Gate (T-Gate) T-Gate is a generalization of OR and gates Gate output goes low when all inputs are low goes high when #threshold inputs are high rise threshold # inputs 35

63 Threshold Gate (T-Gate) T-Gate is a generalization of OR and gates Gate output goes low when all inputs are low goes high when #threshold inputs are high rise threshold # inputs 35

64 Threshold Gate (T-Gate) T-Gate is a generalization 4 of OR and gates Gate output goes low when all inputs are low rise threshold 3 2 goes high when #threshold inputs are high # inputs 35

65 Threshold Gate (T-Gate) T-Gate is a generalization 4 of OR and gates Gate output goes low when all inputs are low rise threshold 3 2 goes high when #threshold inputs are high # inputs 35

66 Threshold Gate (T-Gate) T-Gate is a generalization 4 of OR and gates Gate output goes low when all inputs are low rise threshold 3 2 goes high when #threshold inputs are high # inputs 35

67 Threshold Gate (T-Gate) T-Gate is a generalization 4 of OR and gates Gate output goes low when all inputs are low rise threshold goes high when #threshold inputs are high # inputs 35

68 Threshold Gate (T-Gate) T-Gate is a generalization 4 of OR and gates Gate output goes low when all inputs are low rise threshold goes high when #threshold inputs are high # inputs 35

69 Threshold Gate (T-Gate) T-Gate is a generalization 4 of OR and gates Gate output goes low when all inputs are low rise threshold goes high when #threshold inputs are high # inputs 35

70 How can we compute with -Elements? Simplest approach: DIMS Delay Insensitive Minterm Synthesis Replace each wire with a pair of wires One wire goes high to signal a zero The other goes high to signal a one Both low means data not ready yet 36

71 DIMS Example: XOR gate

72 DIMS Example: XOR gate

73 DIMS Example: XOR gate

74 DIMS Example: XOR gate

75 DIMS Example: XOR gate

76 DIMS Example: XOR gate

77 DIMS Example: XOR gate

78 DIMS Example: XOR gate

79 DIMS Example: XOR gate

80 DIMS Example: XOR gate

81 DIMS Example: XOR gate

82 DIMS Example: XOR gate

83 DIMS Example: XOR gate

84 How can we communicate with -Elements? Simplest communication path is a FIFO First KLA Look to your left: this is your predecessor Look to your right: this is your successor Use ONLY ONE HAND (put the other one behind your back) You may TAKE a plate from your predecessor when your desk is empty You may take as long as you like to do so. You may not foist a plate upon your successor 50

85 How can we communicate with -Elements? Second KLA Look to your left: this is your predecessor Look to your right: this is your successor Start with your hand down When predecessor and successor are in different states, copy your predecessor s state 5

86 How can we communicate with -Elements? Simplest FIFO rule: When predecessor and successor are in different states, copy your predecessor s state out predecessor successor pred 0 succ 0 c 0 c 52

87 Micropipelines 53

88 Demo 54

89 altech MiniMIPS 250 "foo.dat" 200 MIPS Designed Actual results: 250% the performance of the best synchronous MIPS on the same fabrication process, 00% die yield. SPIE predicts >350% after fixing a single layout error (long poly wire) Performance scaled automatically with voltage and temperature changes over a wide range voltage 55

90 Epson Flexible Microprocessor The industry s first flexible 8-bit asynchronous microprosessor. 56

91 Fulcrum FM2224 0Gb/s 24-port full-crossbar 802.X switch Full 240Gb/s bandwidth, non-blocking 200ns solder-ball-to-solder-ball Power scales linearly with throughput QDI Design 57

92 ARM996HS (Handshake Solutions) 58

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer Mohit Arora The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits Springer Contents 1 The World of Metastability 1 1.1 Introduction 1 1.2 Theory of Metastability 1 1.3 Metastability

More information

1/19/2012. Timing in Asynchronous Circuits

1/19/2012. Timing in Asynchronous Circuits Timing in Asynchronous Circuits 1 What do we mean by clock? The system clock for an integrated circuit is a voltage signal that pulses at a regular frequency. 1 0 Time The clock tells each stage of a circuit

More information

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE A Novel Approach of -Insensitive Null Convention Logic Microprocessor Design J. Asha Jenova Student, ECE Department, Arasu Engineering College, Tamilndu,

More information

Department of Electrical and Computer Systems Engineering

Department of Electrical and Computer Systems Engineering Department of Electrical and Computer Systems Engineering Technical Report MECSE-31-2005 Asynchronous Self Timed Processing: Improving Performance and Design Practicality D. Browne and L. Kleeman Asynchronous

More information

M.Sc. Thesis. Implementation and automatic generation of asynchronous scheduled dataflow graph. T.M. van Leeuwen B.Sc. Abstract

M.Sc. Thesis. Implementation and automatic generation of asynchronous scheduled dataflow graph. T.M. van Leeuwen B.Sc. Abstract Circuits and Systems Mekelweg 4, 2628 CD Delft The Netherlands http://ens.ewi.tudelft.nl/ CAS-2010-10 Implementation and automatic generation of asynchronous scheduled dataflow graph Abstract Most digital

More information

Asynchronous Design Methodologies: An Overview

Asynchronous Design Methodologies: An Overview Proceedings of the IEEE, Vol. 83, No., pp. 69-93, January, 995. Asynchronous Design Methodologies: An Overview Scott Hauck Department of Computer Science and Engineering University of Washington Seattle,

More information

CHAPTER 4 GALS ARCHITECTURE

CHAPTER 4 GALS ARCHITECTURE 64 CHAPTER 4 GALS ARCHITECTURE The aim of this chapter is to implement an application on GALS architecture. The synchronous and asynchronous implementations are compared in FFT design. The power consumption

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

How to design little digital, yet highly concurrent, electronics? Alex Yakovlev Newcastle University Newcastle upon Tyne, U.K.

How to design little digital, yet highly concurrent, electronics? Alex Yakovlev Newcastle University Newcastle upon Tyne, U.K. How to design little digital, yet highly concurrent, electronics? Alex Yakovlev Newcastle University Newcastle upon Tyne, U.K. Outline Little Digital electronics: Why going asynchronous? Six Asynchronous

More information

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright Geared Oscillator Project Final Design Review Nick Edwards Richard Wright This paper outlines the implementation and results of a variable-rate oscillating clock supply. The circuit is designed using a

More information

Delay-Insensitive Gate-Level Pipelining

Delay-Insensitive Gate-Level Pipelining Delay-Insensitive Gate-Level Pipelining S. C. Smith, R. F. DeMara, J. S. Yuan, M. Hagedorn, and D. Ferguson Keywords: Asynchronous logic design, self-timed circuits, dual-rail encoding, pipelining, NULL

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

Lecture 9: Clocking for High Performance Processors

Lecture 9: Clocking for High Performance Processors Lecture 9: Clocking for High Performance Processors Computer Systems Lab Stanford University horowitz@stanford.edu Copyright 2001 Mark Horowitz EE371 Lecture 9-1 Horowitz Overview Reading Bailey Stojanovic

More information

ECOM 4311 Digital System Design using VHDL. Chapter 9 Sequential Circuit Design: Practice

ECOM 4311 Digital System Design using VHDL. Chapter 9 Sequential Circuit Design: Practice ECOM 4311 Digital System Design using VHDL Chapter 9 Sequential Circuit Design: Practice Outline 1. Poor design practice and remedy 2. More counters 3. Register as fast temporary storage 4. Pipelined circuit

More information

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Shaik. Yezazul Nishath School Of Electronics Engineering (SENSE) VIT University Chennai, India Abstract This paper outlines

More information

Implementation of Design For Test for Asynchronous NCL Designs

Implementation of Design For Test for Asynchronous NCL Designs Implementation of Design For Test for Asynchronous Designs Bonita Bhaskaran, Venkat Satagopan, Waleed Al-Assadi, and Scott C. Smith Department of Electrical and Computer Engineering, University of Missouri

More information

A Highly-efficacious Self-compensation Means to Reduce Variations due to Bending

A Highly-efficacious Self-compensation Means to Reduce Variations due to Bending A Highly-efficacious Self-compensation Means to Reduce Variations due to Bending Outline Need for Bending Variations of TFTs, Passives and Circuits when bent Mitigation of variations due to bending Reported

More information

Glitch Power Reduction for Low Power IC Design

Glitch Power Reduction for Low Power IC Design This document is an author-formatted work. The definitive version for citation appears as: N. Weng, J. S. Yuan, R. F. DeMara, D. Ferguson, and M. Hagedorn, Glitch Power Reduction for Low Power IC Design,

More information

A VHDL-based design methodology for asynchronous circuits

A VHDL-based design methodology for asynchronous circuits A VHDL-based design methodology for asynchronous circuits SUN-YEN TAN 1, WEN-TZENG HUANG 2 1 Department of Electronic Engineering National Taipei University of Technology No. 1, Sec. 3, Chung-hsiao E.

More information

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs Thomas Olsson, Peter Nilsson, and Mats Torkelson. Dept of Applied Electronics, Lund University. P.O. Box 118, SE-22100,

More information

Outline. EECS Components and Design Techniques for Digital Systems. Lec 12 - Timing. General Model of Synchronous Circuit

Outline. EECS Components and Design Techniques for Digital Systems. Lec 12 - Timing. General Model of Synchronous Circuit Outline EES 5 - omponents and esign Techniques for igital Systems Lec 2 - Timing avid uller Electrical Engineering and omputer Sciences University of alifornia, erkeley Performance Limits of Synchronous

More information

Data_in Data_out Data_in Data_out Control

Data_in Data_out Data_in Data_out Control Synthesis of control circuits from STG specifications Practical Exercise Manual J. Cortadella M. Kishinevsky A. Kondratyev L. Lavagno A. Yakovlev ASYNC'2000, Eilat, Israel 1 Task 1: Handshake communication

More information

Asynchronous Gate-Diffusion-Input (GDI) Circuits

Asynchronous Gate-Diffusion-Input (GDI) Circuits synchronous Gate-Diffusion-Input () ircuits rkadiy Morgenshtein, Michael Moreinis and Ran Ginosar Electrical Engineering Department, Technion Israel Institute of Technology, Haifa 32, Israel [ran@ee.technion.ac.il]

More information

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 24 p. 1/21 EE 42/100 Lecture 24: Latches and Flip Flops ELECTRONICS Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad University of California,

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC06 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC06 74HC/HCT/HCU/HCMOS Logic Package Information The IC06 74HC/HCT/HCU/HCMOS

More information

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 7. Clocked and self-resetting logic I

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 7. Clocked and self-resetting logic I EE E6930 Advanced Digital Integrated Circuits Spring, 2002 Lecture 7. Clocked and self-resetting logic I References CBF, Chapter 8 DP, Section 4.3.3.1-4.3.3.4 Bernstein, High-speed CMOS design styles,

More information

EE 42/100 Lecture 24: Latches and Flip Flops. Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad

EE 42/100 Lecture 24: Latches and Flip Flops. Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 24 p. 1/15 EE 42/100 Lecture 24: Latches and Flip Flops ELECTRONICS Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad University of California,

More information

I have been exploring how far apart we can place these modules, and still expect them to function.

I have been exploring how far apart we can place these modules, and still expect them to function. Good afternoon! My name is Swetha Mettala Gilla you can call me Swetha. I m a student at the Asynchronous Research Center at Portland State University, where I work on the timing of GasP modules. I have

More information

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS Low Power Design Part I Introduction and VHDL design Ricardo Santos ricardo@facom.ufms.br LSCAD/FACOM/UFMS Motivation for Low Power Design Low power design is important from three different reasons Device

More information

Computer-Based Project in VLSI Design Co 3/7

Computer-Based Project in VLSI Design Co 3/7 Computer-Based Project in VLSI Design Co 3/7 As outlined in an earlier section, the target design represents a Manchester encoder/decoder. It comprises the following elements: A ring oscillator module,

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers Accurate Timing and Power Characterization of Static Single-Track Full-Buffers By Rahul Rithe Department of Electronics & Electrical Communication Engineering Indian Institute of Technology Kharagpur,

More information

Asynchronous Circuit Design

Asynchronous Circuit Design Asynchronous Circuit Design A Tutorial Jens Sparsø i Asynchronous circuit design A Tutorial Jens Sparsø Technical University of Denmark Copyright c 2006 Jens Sparsø, Technical University of Denmark. All

More information

SIMMAT A Metastability Analysis Tool

SIMMAT A Metastability Analysis Tool SIMMAT A Metastability Analysis Tool Simulation waveforms voltage d q Ian W. Jones and Suwen Yang, Oracle Labs, Mark Greenstreet, University of British Columbia clk time (ns) 1 November 2012 1 Outline

More information

INF4420 Phase locked loops

INF4420 Phase locked loops INF4420 Phase locked loops Spring 2012 Jørgen Andreas Michaelsen (jorgenam@ifi.uio.no) Outline "Linear" PLLs Linear analysis (phase domain) Charge pump PLLs Delay locked loops (DLLs) Applications Introduction

More information

An Asynchronous High-Throughput Control Circuit For Proximity Communication Justin Schauer

An Asynchronous High-Throughput Control Circuit For Proximity Communication Justin Schauer An Asynchronous High-Throughput Control Circuit For Proximity Communication VLSI Research Group Sun Microsystems Laboratories To Discuss: Proximity communication The timing challenge Our asynchronous solution

More information

2014 Paper E2.1: Digital Electronics II

2014 Paper E2.1: Digital Electronics II 2014 Paper E2.1: Digital Electronics II Answer ALL questions. There are THREE questions on the paper. Question ONE counts for 40% of the marks, other questions 30% Time allowed: 2 hours (Not to be removed

More information

ECE 551: Digital System Design & Synthesis

ECE 551: Digital System Design & Synthesis ECE 551: Digital System Design & Synthesis Lecture Set 9 9.1: Constraints and Timing 9.2: Optimization (In separate file) 03/30/03 1 ECE 551 - Digital System Design & Synthesis Lecture 9.1 - Constraints

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

Digital Logic Circuits

Digital Logic Circuits Digital Logic Circuits Let s look at the essential features of digital logic circuits, which are at the heart of digital computers. Learning Objectives Understand the concepts of analog and digital signals

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 20: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 2 is on Friday Nov. 9 One double-sided 8.5x11

More information

Asynchronous Communication Circuits: Design, Test and Synthesis

Asynchronous Communication Circuits: Design, Test and Synthesis School of Electrical, Electronic & Computer Engineering Asynchronous Communication Circuits: Design, Test and Synthesis Delong Shang Technical Report Series April 2003 Contact: Delong.Shang@ncl.ac.uk Copyright

More information

L4975A 5A SWITCHING REGULATOR

L4975A 5A SWITCHING REGULATOR L4975A 5A SWITCHING REGULATOR 5A OUTPUT CURRENT 5.1 TO 40 OUTPUT OLTAGE RANGE 0 TO 90% DUTY CYCLE RANGE INTERNAL FEED-FORWARD LINE REGULA- TION INTERNAL CURRENT LIMITING PRECISE 5.1 ± 2% ON CHIP REFERENCE

More information

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC LOGIC Logic is a branch of math that tries to look at problems in terms of being either true or false. It will use a set of statements to derive new true

More information

I hope you have completed Part 2 of the Experiment and is ready for Part 3.

I hope you have completed Part 2 of the Experiment and is ready for Part 3. I hope you have completed Part 2 of the Experiment and is ready for Part 3. In part 3, you are going to use the FPGA to interface with the external world through a DAC and a ADC on the add-on card. You

More information

Asynchronous Pipeline Controller Based on Early Acknowledgement Protocol

Asynchronous Pipeline Controller Based on Early Acknowledgement Protocol ISSN 1346-5597 NII Technical Report Asynchronous Pipeline Controller Based on Early Acknowledgement Protocol Chammika Mannakkara and Tomohiro Yoneda NII-2008-009E Sept. 2008 1 PAPER Asynchronous Pipeline

More information

Fixed-function (FF) implementation for PSoC 3 and PSoC 5 devices

Fixed-function (FF) implementation for PSoC 3 and PSoC 5 devices 2.40 Features 8- or 16-bit resolution Multiple pulse width output modes Configurable trigger Configurable capture Configurable hardware/software enable Configurable dead band Multiple configurable kill

More information

CMOS Digital Integrated Circuits Analysis and Design

CMOS Digital Integrated Circuits Analysis and Design CMOS Digital Integrated Circuits Analysis and Design Chapter 8 Sequential MOS Logic Circuits 1 Introduction Combinational logic circuit Lack the capability of storing any previous events Non-regenerative

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

Lecture 02: Digital Logic Review

Lecture 02: Digital Logic Review CENG 3420 Lecture 02: Digital Logic Review Bei Yu byu@cse.cuhk.edu.hk CENG3420 L02 Digital Logic. 1 Spring 2017 Review: Major Components of a Computer CENG3420 L02 Digital Logic. 2 Spring 2017 Review:

More information

Unit level 4 Credit value 15. Introduction. Learning Outcomes

Unit level 4 Credit value 15. Introduction. Learning Outcomes Unit 20: Unit code Digital Principles T/615/1494 Unit level 4 Credit value 15 Introduction While the broad field of electronics covers many aspects, it is digital electronics which now has the greatest

More information

Assignment /01

Assignment /01 Principles and Applications of Electronic Devices and Circuits Assignment 1 40764/01 It's very straightforward to submit this test paper online by logging on to the ICS Student Community at www.icslearn.co.uk.

More information

QDI Fine-Grain Pipeline Templates

QDI Fine-Grain Pipeline Templates QDI Fine-Grain Pipeline Templates Peter. eerel University of Southern alifornia Outline synchronous Latches Fine Grain Pipelining Weak ondition Half uffer Template uffer Logic Examples Precharge Full uffer

More information

Low Power Design. Prof. MacDonald

Low Power Design. Prof. MacDonald Low Power Design Prof. MacDonald Power the next challenge! l High performance thermal problems power is now exceeding 100-200 watts l difficult to remove heat from system l slows down circuits - mobilities

More information

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design PH-315 COMINATIONAL and SEUENTIAL LOGIC CIRCUITS Hardware implementation and software design A La Rosa I PURPOSE: To familiarize with combinational and sequential logic circuits Combinational circuits

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

I a3 -> SI ) (5) end (6)

I a3 -> SI ) (5) end (6) Squaring the FFO in Gasp Jo Ebergen Sun Microsystems Laboratories, 901 San Antonio Road, Palo Alto CA 94303, USA Abstract This paper presents a method for designing a special type of asynchronous circuits,

More information

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits Lec Sequential CMOS Logic Circuits Sequential Logic In Combinational Logic circuit Out Memory Sequential The output is determined by Current inputs Previous inputs Output = f(in, Previous In) The regenerative

More information

Digital design & Embedded systems

Digital design & Embedded systems FYS4220/9220 Digital design & Embedded systems Lecture #5 J. K. Bekkeng, 2.7.2011 Phase-locked loop (PLL) Implemented using a VCO (Voltage controlled oscillator), a phase detector and a closed feedback

More information

Current-mode PWM controller

Current-mode PWM controller DESCRIPTION The is available in an 8-Pin mini-dip the necessary features to implement off-line, fixed-frequency current-mode control schemes with a minimal external parts count. This technique results

More information

DESIGN OF HIGH FREQUENCY CMOS FRACTIONAL-N FREQUENCY DIVIDER

DESIGN OF HIGH FREQUENCY CMOS FRACTIONAL-N FREQUENCY DIVIDER 12 JAVA Journal of Electrical and Electronics Engineering, Vol. 1, No. 1, April 2003 DESIGN OF HIGH FREQUENCY CMOS FRACTIONAL-N FREQUENCY DIVIDER Totok Mujiono Dept. of Electrical Engineering, FTI ITS

More information

Objective Questions. (a) Light (b) Temperature (c) Sound (d) all of these

Objective Questions. (a) Light (b) Temperature (c) Sound (d) all of these Objective Questions Module 1: Introduction 1. Which of the following is an analog quantity? (a) Light (b) Temperature (c) Sound (d) all of these 2. Which of the following is a digital quantity? (a) Electrical

More information

A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique

A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique 1 A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan 2 Outline Motivation Design Concept

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute.  From state elements ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: April 2, 2019 Sequential Logic, Timing Hazards and Dynamic Logic Lecture Outline! Sequential Logic! Timing Hazards! Dynamic Logic 4 Sequential

More information

Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator

Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator ELECTRONICS, VOL. 13, NO. 1, JUNE 2009 37 Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator Miljana Lj. Sokolović and Vančo B. Litovski Abstract The lack of methods and tools for

More information

Analog Micropipeline Rings for High Precision Timing

Analog Micropipeline Rings for High Precision Timing Analog Micropipeline Rings for High Precision Timing Scott Fairbanks ambridge University Scott.Fairbanks@cl.cam.ac.uk Simon Moore ambridge University Simon.Moore@cl.cam.ac.uk Abstract I use asynchronous

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

Tuesday, March 29th, 9:15 11:30

Tuesday, March 29th, 9:15 11:30 Oscillators, Phase Locked Loops Tuesday, March 29th, 9:15 11:30 Snorre Aunet (sa@ifi.uio.no) Nanoelectronics group Department of Informatics University of Oslo Last time and today, Tuesday 29th of March:

More information

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Cao Cao and Bengt Oelmann Department of Information Technology and Media, Mid-Sweden University S-851 70 Sundsvall, Sweden {cao.cao@mh.se}

More information

6.111 Lecture # 19. Controlling Position. Some General Features of Servos: Servomechanisms are of this form:

6.111 Lecture # 19. Controlling Position. Some General Features of Servos: Servomechanisms are of this form: 6.111 Lecture # 19 Controlling Position Servomechanisms are of this form: Some General Features of Servos: They are feedback circuits Natural frequencies are 'zeros' of 1+G(s)H(s) System is unstable if

More information

Fixed-function (FF) implementation for PSoC 3 and PSoC 5LP devices

Fixed-function (FF) implementation for PSoC 3 and PSoC 5LP devices 3.30 Features 8- or 16-bit resolution Multiple pulse width output modes Configurable trigger Configurable capture Configurable hardware/software enable Configurable dead band Multiple configurable kill

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

STATIC TIMING ANALYSIS OF GASP. Prasad Joshi

STATIC TIMING ANALYSIS OF GASP. Prasad Joshi STATIC TIMING ANALYSIS OF GASP by Prasad Joshi A Thesis Presented to the FACULTY OF THE USC VITERBI SCHOOL OF ENGINEERING UNIVERISTY OF SOUTHERN CALIFORNIA In Partial Fulfillment of the Requirements for

More information

SEU Hardening Techniques for Retargetable, Scalable, Sub-Micron Digital Circuits and Libraries*

SEU Hardening Techniques for Retargetable, Scalable, Sub-Micron Digital Circuits and Libraries* SEU Hardening Techniques for Retargetable, Scalable, Sub-Micron Digital Circuits and Libraries* M. P. Baze, J. C. Killens, R. A. Paup, W. P. Snapp Boeing Space and Communications Seattle, WA * Work supported

More information

CR6842. Green-Power PWM Controller with Freq. Jittering. Features. Applications. General Description. Leading-edge blanking on Sense input

CR6842. Green-Power PWM Controller with Freq. Jittering. Features. Applications. General Description. Leading-edge blanking on Sense input Green-Power PWM Controller with Freq. Jittering Features Low Cost, Green-Power Burst-Mode PWM Very Low Start-up Current ( about 7.5µA) Low Operating Current ( about 3.0mA) Current Mode Operation Under

More information

Implementing a STARI Chip. University of British Columbia. Vancouver, B.C., V6T 1Z4 Canada 2 STARI. bandwidth of the channel.

Implementing a STARI Chip. University of British Columbia. Vancouver, B.C., V6T 1Z4 Canada 2 STARI. bandwidth of the channel. Implementing a STARI hip Mark R. Greenstreet Department of omputer Science University of British olumbia Vancouver, B.., V6T 1Z4 anada Abstract STARI is a high-speed signaling technique that uses both

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

NTE980 Integrated Circuit CMOS, Micropower Phase Locked Loop (PLL)

NTE980 Integrated Circuit CMOS, Micropower Phase Locked Loop (PLL) NTE980 Integrated Circuit CMOS, Micropower Phase Locked Loop (PLL) Description: The NTE980 CMOS Micropower Phase Locked Loop (PLL) consists of a low power, linear voltage controlled oscillator (VCO) and

More information

Energy-efficient AES SubBytes transformation circuit using asynchronous circuits for ultra-low voltage operation

Energy-efficient AES SubBytes transformation circuit using asynchronous circuits for ultra-low voltage operation LETTER IEICE Electronics Express, Vol.12, No.4, 1 10 Energy-efficient AES SubBytes transformation circuit using asynchronous circuits for ultra-low voltage operation Yuzuru Shizuku 1a), Tetsuya Hirose

More information

A Transistor-Level Test Strategy for C 2 MOS MOUSETRAP Asynchronous Pipelines

A Transistor-Level Test Strategy for C 2 MOS MOUSETRAP Asynchronous Pipelines A Transistor-Level Test Strategy for MOUSETRAP Asynchronous Pipelines Feng Shi Electrical Engineering Dept. Yale University New Haven, CT 652, USA Yiorgos Makris Electrical Engineering Dept. Yale University

More information

HMC959LC3 HIGH SPEED LOGIC - SMT. 26 GHz, DIVIDE-BY-4 WITH RESET & PROGRAMMABLE OUTPUT VOLTAGE. Typical Applications. Features. Functional Diagram

HMC959LC3 HIGH SPEED LOGIC - SMT. 26 GHz, DIVIDE-BY-4 WITH RESET & PROGRAMMABLE OUTPUT VOLTAGE. Typical Applications. Features. Functional Diagram HMC959LC Typical Applications Features The HMC959LC is ideal for: High Speed Frequency Divider (up to 26 GHz) Broadband Test & Measurement Clock Synthesis Phase Locked Loops Functional Diagram Electrical

More information

DS2175 T1/CEPT Elastic Store

DS2175 T1/CEPT Elastic Store T1/CEPT Elastic Store www.dalsemi.com FEATURES Rate buffer for T1 and CEPT transmission systems Synchronizes loop timed and system timed data streams on frame boundaries Ideal for T1 (1.544 MHz) to CEPT

More information

L4972A L4972AD 2A SWITCHING REGULATOR

L4972A L4972AD 2A SWITCHING REGULATOR L4972A L4972AD 2A SWITCHING REGULATOR 2A OUTPUT CURRENT 5.1V TO 40V OUTPUT VOLTAGE RANGE 0 TO 90% DUTY CYCLE RANGE INTERNAL FEED-FORWARD LINE REG. INTERNAL CURRENT LIMITING PRECISE 5.1V ± 2% ON CHIP REFERENCE

More information

ICS PLL BUILDING BLOCK

ICS PLL BUILDING BLOCK Description The ICS673-01 is a low cost, high performance Phase Locked Loop (PLL) designed for clock synthesis and synchronization. Included on the chip are the phase detector, charge pump, Voltage Controlled

More information

MULTIPHASE clocks are useful in many applications.

MULTIPHASE clocks are useful in many applications. IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 3, MARCH 2004 469 A New DLL-Based Approach for All-Digital Multiphase Clock Generation Ching-Che Chung and Chen-Yi Lee Abstract A new DLL-based approach

More information

Prioritized Prime Implicant Patterns Puzzle for Novel Logic Synthesis and Optimization

Prioritized Prime Implicant Patterns Puzzle for Novel Logic Synthesis and Optimization Prioritized Prime Implicant Patterns Puzzle for Novel Logic Synthesis and Optimization Kuo-Hsing heng* and Shun-Wen heng Department of Electrical Engineering, Tamkang University, TIWN E-mail: cheng@ee.tku.edu.tw*

More information

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR Yang-Shyung Shyu * and Jiin-Chuan Wu Dept. of Electronics Engineering, National Chiao-Tung University 1001 Ta-Hsueh Road, Hsin-Chu, 300, Taiwan * E-mail:

More information

Electronics. Digital Electronics

Electronics. Digital Electronics Electronics Digital Electronics Introduction Unlike a linear, or analogue circuit which contains signals that are constantly changing from one value to another, such as amplitude or frequency, digital

More information

Speedup of Self-Timed Digital Systems Using Early Completion

Speedup of Self-Timed Digital Systems Using Early Completion Speedup of Self-Timed igital Systems Using Early ompletion Scott. Smith University of Missouri Rolla, epartment of Electrical and omputer Engineering 3 Emerson Electric o. Hall, 87 Miner ircle, Rolla,

More information

Just-In-Time Power Gating of GasP Circuits

Just-In-Time Power Gating of GasP Circuits Portland State University PDXScholar Dissertations and Theses Dissertations and Theses Winter 2-13-2013 Just-In-Time Power Gating of GasP Circuits Prachi Gulab Padwal Portland State University Let us know

More information

High Performance Asynchronous ASIC Back-End Design Flow Using Single-Track Full-Buffer Standard Cells

High Performance Asynchronous ASIC Back-End Design Flow Using Single-Track Full-Buffer Standard Cells High Performance Asynchronous ASIC Back-End Design Flow Using Single-Track Full-Buffer Standard Cells Marcos Ferretti, Recep O. Ozdag, Peter A. Beerel Department of Electrical Engineering Systems University

More information

SVT-Pixel layer 0 recent achievements on chip readout architectures

SVT-Pixel layer 0 recent achievements on chip readout architectures SVT-Pixel layer 0 recent achievements on chip readout architectures Filippo Maria Giorgi - INFN and University of Bologna on behalf of the VIPIX collaboration XII SuperB General Meeting Annecy, March 5

More information

Outline. CPE/EE 422/522 Advanced Logic Design L02. Review: Combinational-Circuit Building Blocks. Multiplexers: 2-to-1 Multiplexer

Outline. CPE/EE 422/522 Advanced Logic Design L02. Review: Combinational-Circuit Building Blocks. Multiplexers: 2-to-1 Multiplexer Outline CPE/EE 422/522 Avance Logic Design L2 Electrical an Computer Engineering University o Alabama in Huntsville What we know Laws an Theorems o Boolean Algebra Simpliication o Logic Epressions Using

More information

SG6860 Low-Cost, Green-Mode PWM Controller for Flyback Converters

SG6860 Low-Cost, Green-Mode PWM Controller for Flyback Converters SG6860 Low-Cost, Green-Mode PWM Controller for Flyback Converters Features Green-Mode PWM Supports the Blue Angel Eco Standard Low Startup Current: 9µA Low Operating Current: 3mA Leading-Edge Blanking

More information

Using ATACS for Verification of Hazard-Freedom of Phased Logic Wrappers

Using ATACS for Verification of Hazard-Freedom of Phased Logic Wrappers Using ATACS for Verification of Hazard-Freedom of Phased Logic Wrappers Michael Boyer Advisor: Cherrice Traver Union College Summer 2004 Table of Contents 1. Phased Logic... 2 2. Wrappers... 3 3. ATACS...

More information

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies Oct. 31, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy

More information