CHAPTER 4 GALS ARCHITECTURE

Size: px
Start display at page:

Download "CHAPTER 4 GALS ARCHITECTURE"

Transcription

1 64 CHAPTER 4 GALS ARCHITECTURE The aim of this chapter is to implement an application on GALS architecture. The synchronous and asynchronous implementations are compared in FFT design. The power consumption is reduced and the maximum frequency of operation increases when the FFT design is implemented in GALS architecture. 4.1 CLOCK DISTRIBUTION Generating high frequency clock signal throughout the die is a problem as skew becomes inevitable. In most processors, a PLL (Phase Lock Loop) generates a high frequency clock signal from a slow external clock. There are two approaches to distribute the clock: H - Tree distribution Buffer driven clock distribution A combination of a metal grid and tree of buffers are used to distribute the clock throughout the chip. These metal grids provide an ideal path for low skew as they provide direct interconnections between clock pins. In most of the clock hierarchy, there is a transition from global clocks which are sub-divided into major clocks and finally to local clocks. This novel approach helps in reducing the skew within the synchronous blocks itself (Restle et al 2001). The basic distribution of global clock into smaller independent local clocks is shown in Figure 4.1.

2 65 Figure 4.1 Global clock distribution The H-Tree structure has low latency, less wiring and low power consumption. Trees work well if the clock loading is uniform across the chip area; unfortunately, most microprocessors have widely varying clock loads. This is the main reason why H-type clock distribution scheme is used for asynchronous circuit design. The advantage of H-tree structure design is the fact that ideal duty cycle is reduced by 50% and reflection is prevented. Rise and fall times are preserved. There is reduced load capacitance which results in lesser fan-out for our design. In buffer driven clock distribution the buffer acts as a driver to the integrated circuit. Buffers are to amplify and reduce the skew between the intermediate clock domains (Olsson et al 2000). Using PLL to generate clock might pose a significant problem when it comes to pausable clock. So we use a ring oscillator to generate clock and distribute it through the chip area. PLL produces more mechanical noise compared to ring oscillator. Crystal/ring oscillator is used for clock generation. The local clock generator is constructed from an inverter and a delay line, similar to an inverter ring oscillator (Nilisson et al 1996). The problem with using inverters alone as a delay line is that it is difficult to accurately tune the clock period as process variations and changes in

3 66 temperature affect the delay. Hence, accurate delay lines have been developed which are capable of maintaining a stable clock frequency. These use a global reference clock for calibration. The former can use either standard cells or full custom blocks for the tunable delay and have been shown to maintain a frequency within 1% of the chosen value or Pierce crystal oscillator with stable frequency is used for clock generation. 4.2 GALS LOCAL CLOCK CIRCUITRY DESIGN Local clock generation is carried out using on chip clock generators. This design is governed by multiple clocks. Since we have multiple clocks, synchronization of these clocks is a major a problem as failures are more prone under this configuration. Globally asynchronous locally synchronous (GALS) systems combine the benefits of synchronous and asynchronous systems (Chapiro et al 1984). Modules can be designed like modules in a globally synchronous design, (Muttersback et al 2000) using the same tools and methodologies. Each block is independently clocked, which helps to alleviate clock skew. Connections between the synchronous blocks are asynchronous. When data enters a synchronous system from an asynchronous environment, registers at the input are prone to metastability. To avoid this, the arrival of data is indicated by an asynchronous handshaking protocol. When data arrives, the locally generated clock is paused: in practice, the rising edge of the clock is delayed. Once data has safely arrived, the clock can be released so data is latched with zero probability of metastability on the data path. Early work on GALS systems (Yen et al 1998) introduced clock stretching or pausing. Synchronization is done using two methods. One is stretchable clock and the other is pausable clocking methodology. In stretchable clocking

4 67 scheme, the clocking period is unknown during the stretch time to attain synchronization, but in the pausable clocking scheme, the period after the pause is known and much of synchronization failures can be averted. Due to the inconsistency of the stretchable clock, we take into consideration the pausable clocking scheme for our synchronization methodology. We have proposed a new asynchronous communication methodology for the communication between any two synchronous blocks. Methods to achieve asynchronous communication: Handshake circuits clocking versus handshaking Muller C elements Stretchable clock/pausable clock Handshake circuits The handshake circuits are used to generate signals in response to their input signals (from environment or so called dummy signals) which in combination form a specific protocol to implement asynchronous data communication between two modules. The design of the handshake circuits depends on the specific data communication protocols, the structures of modules and the organization of systems. The asynchronous handshake is shown in Figure 4.2. Two or four-phase protocols and various data encoding schemes are used for asynchronous data communication. The main disadvantage of the 2-phase handshake protocol is that its state differs from the state before that handshake. A 4-phase consists of two transitions more to return to the initial state. This can be seen in Figures 4.3 and 4.4. In comparison with the 2-phase handshake protocol, a 4-phase is slower and consumes more power. In general, the circuits are simpler and less

5 68 expensive. Due to the complexity of control circuits for implementing 2-phase protocols and the large overhead for dual-rail data transference to generate the completed signal. The four-phase bundled data communication is commonly employed in most of the fully asynchronous systems. Other types of handshaking are also possible. The circuits which are proposed, has only one wire with active circuits at both ends to pull the wire up or down. The introduced protocols above all assume that the sender is the active party that initiates the data transfer over the channel. This is known as a push channel. The opposite, the receiver asking for new data is also possible and is called a pull channel. The direction of the request and acknowledge signals are then being reversed. The validity of the data is indicated in the acknowledge signal from the sender to the receiver. Figure 4.2 Asynchronous handshake

6 69 Figure 4.3 Two phase circuit Figure 4.4 Four phase circuit

7 Muller C-element The Muller C element is an important state holding component of asynchronous circuits. When both inputs are 0, the output of the Muller C element is set to 0 and when they are 1, the output changes to 1. Since handshaking involves cyclic transitions between 0 and 1, it is clear that the Muller C element is a fundamental component and is the AND function for two events. The fundamental circuit implementing four-phase handshaking protocol between asynchronous blocks can be composed of Muller C elements which are shown in Figure 4.5. The Muller C element truth table is shown in Table 4.1. For a successful data transfer, the handshake circuits will go through the following signal transitions viewed from outside of the interface circuits: Rin +, Aout +, Rout+, Ain +, Rin -, Aout -, Rout - and Ain - by which data communications in the whole system are consecutively coupled with behaviors of subsequent modules. Figure 4.5 Muller C element circuit diagram

8 71 Table 4.1 Truth table for Muller C element A B Y No change 1 0 No change Stretchable clock controller The main motivation behind machines with stretchable clocks has been to avoid the metastability problems. Stretchable clock can stretch a clock phase for an unbounded period of time. In the meanwhile, inputs and outputs will become valid (Moore et al 2000). Therefore, they are suitable for interaction with the global asynchronous characteristics of a GALS. The stretchable clock consists of a ring oscillator and a Muller C element as shown in Figure 4.6. For safety and reliability of the clock, a Muller C element is been used. If Stretch is not asserted as low, the output and inputs of the C element will follow the signal transitions of Figure 4.7. If Stretch is asserted as high, the input X a is set to low, the output of the C element could be either low or high. The output will eventually be maintained at a low level. The next rising of lclk edge has postponed the Stretch +. An OR gate is used for multiple requests for stretching the clock.

9 72 Figure 4.6 Implementation of a stretchable clock Figure 4.7 Stretchable clock signal transition graph Pausable clock controller To make the clock pausable, an ME element is added to the ring as shown in Figure 4.8. This arbitrates between the rising edge of the clock and an incoming request (Yen et al 1996). Hence, the clock is prevented from

10 73 rising as the input registers are being enabled by the request and metastability is prevented. For each bundle of data, a port controller, request and ME element is required. Only when all of the ME elements have been locked out by the clock, the rising clock edge is permitted to occur. Figure 4.8 Clock pausing scheme A problem with clock pausing is that the clock is delayed as it is distributed across the clock domain, but the clock must be paused at its source (Yen et al 1999). When the clock releases the ME elements, there may still be a clock edge in the buffer tree. Hence, it is possible that registers will be enabled as the clock rises, as shown in Figure 4.9. However, while the source clock is high, ME elements will remain locked so for this phase of the cycle, no requests are permitted. For this reason, we must ensure that the delay of the clock buffer is shorter than the duration of the high phase of the clock. Limiting this delay limits the size of the clock tree, hence defining the size of GALS blocks.

11 74 Figure 4.9 Clock buffering problem The standard components which can be placed around synchronous modules to provide the handshake signals and make them GALS modules, are called asynchronous wrappers (Carlsson et al 2002). In GALS systems, interface circuit with Muller C elements can not be applied directly to data communications between two locally synchronous modules, because there is a significant difference between GALS and fully asynchronous systems that the activations of data input and output must be synchronized with their local clocks. Hence, for GALS systems to complete data transfers between the locally synchronous modules, it is necessary to use special ports (Shengxian et al 2002) to implement the handshaking and the stretching of local clocks. Such LS modules with an asynchronous wrapper could be connected with ease (Hanck et al 1994). Port controllers are required to generate and accept handshaking signals at the inputs and outputs of modules. These port controllers are asynchronous state machines, which are similar to inputs rather than a clock. To simplify the design of the asynchronous wrapper, but without loss of generality, we assume the handshake circuits in GALS work in the following mode:

12 75 a) The request of data communication is always activated by a data output interface circuit, namely W-port, which is equipped to a master LS module, The data input interface circuit, namely R-port, which is equipped to a slave LS module, is always passive for accepting the data. b) When the W-port activates data output, it might stop its internal clock and wait for the acknowledgement from the corresponding R-port. Likewise, when the R-port initializes reading a data, it must maintain the state until the W-port sends a request. This means every activation of each port completes an effective data transmission. c) Both the W-port and the R-port are independently enabled by the internal requests from their own LS modules. This is the case of data communications in many GALS systems. 4.3 IMPLEMENTATION OF FFT USING GALS TECHNOLOGY FFT processors are involved in a wide range of applications today. Not only as a very important block in broadband systems, digital TV, etc., but also used in areas like radar, medical electronics and also in real time systems (Torkelson et al 1996). The workload for FFT computations is also high and a better approach than a general-purpose processor is required, to fulfill the requirements at a reasonable cost. For instance, using application specific processors, algorithm specific processors or ASICs could be the solution to these problems. ASIC is the choice because of its lower power consumption and higher throughput. In synchronous circuits, the clock signal switches at every clock cycle and drives a large capacitance. As a result, the clock signal is a major

13 76 source of dynamic power dissipation. For example, studies have attributed upto 30% of the total power dissipation in general purpose processor to clock network (Hemani et al 1999). Globally asynchronous and locally synchronous circuits are one in which, different block work asynchronously with respect to the other blocks but synchronously. Asynchronous circuits activate components that are necessary to perform the given operation by the use of local handshake protocols. ones, like: Asynchronous circuits have many advantages over synchronous Performance of an asynchronous system depends on the average case latency, not the worst case latency as in synchronous circuits. Global clock timing problems are avoided. The power consumption can be lower in asynchronous circuits, despite the fact that they require more hardware. 4.4 FFT ALGORITHMS The mixed radix algorithm is used in this implementation. Any composite number N can split in to a product of prime factors. In each stage, a single prime factor is taken into consideration. The only way to calculate FFT for a prime number of point is through DFT. This stage involves calculation of the prime factor point DFT, with the proper selection of points. This step is followed by proper twiddling at this stage of output. The same procedure is repeated for other prime factors. The net result of this process yields the FFT of the N-point input. The reordering algorithm is used to obtain the FFT output in the natural order. Thus, this is the final order of output obtained by a

14 77 FFT algorithm and by knowing this, the output is rearranged to obtain the output in natural order. 4.5 FFT ARCHITECTURE are listed below: There are various architectures present to implement FFT and they Array architectures: Used for short FFTs computations and it requires extensive chip area. Column architecture: It is done by collapsing all the columns in array architecture into one column Pipelined architectures: Pipelined architectures are useful for FFTs that requires high data throughput. Reconfigurable architecture: It is useful for FFTs that require FPGA and the implementation requires less area but less data throughput. New FFT architecture: GALS implementation to reduce power consumption. The array architecture can only be used for very short FFTs, because of the extensive use of chip-area. The column architecture uses an approach that requires less area on the chip than the array architecture. The architecture is still not small enough to be taken into account for long FFTs. The advantages of pipeline architecture are high data throughput, relatively small area and a simple control unit. If the design uses synchronous operation, delays had to be added between each radix-2 2 stage and between

15 78 the two butterfly elements inside the radix-2 2 stage. This will result in the need for more hardware and increase in the latency between input and output frames. The latency is not a big problem, but the extra hardware will increase the die size and the power consumption. The advantage of reconfigurable architecture can be implemented using FPGA (since it has dynamic reconfiguration) and the data throughput is less but the area is less compared other architectures. The above problem could be solved in two ways, either changing the control unit or creating a system consisting of locally synchronous blocks communicating asynchronously (GALS) (Jonas et al 2003). The first choice of keeping the FFT completely synchronous would increase the complexity of the control unit, resulting in a system that is harder to understand. The second choice would only have a slightly different control structure, but very similar to the original one. The blocks would also be more separated from each other functionally, which could be a good property when improving the design later in the future. These pros and cons lead to the implementation of the FFT processor as a GALS-system. 4.6 GALS IMPLEMENTATION The implementation FFT using GALS architecture consists of three basic building blocks and they are: Input block Central block Output block

16 Features of architecture The implemented processor is a 16-bit floating point processor (8 bits for integer and 8 bits decimal part) for real and imaginary parts to enable high range and resolution. The resolution of this processor is thus 2-8 = This FFT processor can handle from 2-point FFT to 32-point FFT. The processor, which makes use of a single multiplier, is implemented using modified Booth s algorithm. Only one block is active at a time in the processor, thus reducing power consumption. The input block, after getting the inputs, sends a request signal to the central block and places the data in the bus which goes to central block. The same process is followed in between the central block and the output block. To enable the features of asynchronous circuits, each block has dedicated request and acknowledge pins. The sine and cosine functions are implemented in this module. Hence, twiddle factors required are generated using these functions.

17 Input block The inputs to the processor are provided through this block. The input to the FFT processor is 32-points. If all the 32-points are to be provided as input simultaneously, the processor must have = 1024 pins for data bits alone, which is not practically feasible. The input block consists of the following pins: 16-bit data lines, 5-bit selection lines, 5-bit selection1 lines, Global Enable and Local Enable Pins (active high), Clock and Start Pins, output lines, request and acknowledge handshaking signals to communicate with the next block. The internal structure of input block is shown in Figure Figure 4.10 Internal structure of input block

18 81 Global Enable Pin should be given high throughout the process of FFT computation. Local Enable Pin is given high only when providing inputs to the processor. The start signal is given after feeding all the inputs to the processor for FFT computation. The input block then sends a request signal and places the data of bits at the output pins. The input block after getting the acknowledge signal from the central block, turns the request signal low Central block This block, also called the FFT computational block, is the heart of the processor. This block receives its input from the input block and outputs the result to the output block. This block is enabled by the input block by sending a request handshaking signal. Following the completion of the computations, this block sends a request signal to the output block. The inputs to this block are data lines, Global enable, clock, select1 lines and the handshaking signal pairs (reqa, acka) and (reqc, ackc). This block comprises of three sets of 64, 32-bit registers. This block generates the twiddle factors by using the dedicated sine and cosine function generation components. The central block s main operation is to select the inputs from the registers and the corresponding twiddle factor generation using the sine and cosine generating components for computing the prime factor-point DFT. This operation is performed by using three loops to reduce the size of the program. The first loop is for specifying the stage of the FFT Computation. The second loop is for specifying the set in the stage specified by the first loop. The third loop is for specifying the point to be selected in a specified set.

19 82 This FFT computation block then enables the output block by sending a request signal. The output block samples the data produced by the computational block after giving the acknowledge signal Output block The positive edge in the acknowledge pulse is the instant at which the data from the central block is sampled and stored in the temporary pages. This block is utilized to display the output. It receives input from the central block It consists of input lines (64, 16), output lines (1, 16), global enable, select lines (5), select1 lines (5), clock signal. In both real and imaginary parts, 8 bits are allocated for integer part and 8 bits for mantissa. The internal structure of output block is shown in Figure Figure 4.11 Internal structure of output block

20 IMPLEMENTATION OF GALS The implementation makes use of the GALS technology to interface the input, central and the output blocks. The input and the output blocks are made to run at the same clock speed. The central block runs at a different clock, thus moving compatible with the GALS technology. No global clock is used in asynchronous circuits; instead some form of handshaking is used in the communication between systems. These systems are not completely asynchronous; they consist of synchronous sub-systems communicating asynchronously. In Figure 4.12, the LS-system is a locally synchronous system, Req is short for request and Ack is short for acknowledge. Req and Ack perform the handshaking. In this project, a push communication channel is used, which means that the producer of data initiates the handshaking. A 4-phase handshaking cycle is performed in the following way (Req + means Req goes high): Req +, Ack +, Req - and finally Ack -. Data should be valid between Req + and Ack -, but is often sampled on the Ack + edge. Figure 4.12 GALS asynchronous communication The GALS technology is implemented in the three blocks of the FFT processor as follows: The data transfer is always along a single direction i.e. the data always flows from the input block to the central block and then

21 84 from the central block to the output block. So the input block is designed with a single pair of request and acknowledges signals. The central block has to communicate with input block as well as the output block and the data transfer is only one way. Hence, the central block is designed with two sets of handshaking signals (request and acknowledge signals). The output block communicates only with the central block and the data transfer is only one way and for this reason, output block is designed with a single pair of the handshaking signals. The GALS FFT processor and variable point FFT architecture are shown in Figures 4.13 and 4.14 respectively. Figure 4.13 GALS FFT processor implementation Figure 4.14 Variable point FFT architecture

22 85 The input block and the central block communicate through the reqa (request) and the acka (acknowledge) signals. The reqa signal is raised by the input block and the central block responds by raising the acka signal and sampling the data from the data bus. The input block then turns the reqa signal and the central block follows this by turning the acka signal low. This same process applies to the communication between the central block and the output block. The signals involved in this asynchronous communication are reqc and ackc handshaking pair of signals. 4.8 SIMULATION RESULTS The implementation of all the design blocks are implemented using VHDL code and simulation result is shown in Figure Figure 4.15 Output waveform of GALS implemented FFT processor

23 SYNTHESIZED REPORT The FFT processor is synthesized for 8-points. Since this is a variable point processor, the number of loops i.e., the corresponding number of operations varies with the number of points. The synthesized 8-point FFT result is shown in Figure The tool used for synthesizing is Leonardo Spectrum. The report obtained by synthesizing the 8-point FFT processor, which is taken as snap shot from the system monitor, is shown in Figure Figure 4.16 Synthesized report for 8-point FFT processor

24 87 This synthesized report is obtained before the implementation of GALS in the processor. The LC utilization as from the report is 5010 and for Altera APEX20KE technology, it is found to be 60.22%. The clock frequency report generated by the tool Leonardo spectrum, which is also obtained as a snap shot, is shown in Table 4.2. Table 4.2 Clock frequency report The clock frequency report gives a clear picture of the frequency of operation of the blocks and it is shown in Table 4.2. The input block and the output block can operate at a maximum frequency of 64.0 MHz. The central block can operate at a maximum frequency of 13.7 MHz. Since the central block involves numerous computation processes, this block would operate slowly with respect to others. When the time period taken for computing FFT for a set of eight points, then the frequency of operation is determined by the input and output blocks, since the time period for eight clock cycles for a 64 MHz clock is greater than the single clock period of a 13.7 MHz clock.

25 88 The RTL schematic diagram obtained using the Leonardo Spectrum tool is shown in Figure Figure 4.17 RTL schematic of 8-point FFT processor

26 89 The technology schematic diagram is shown in the Figure Figure 4.18 Technology schematic of 8-point FFT processor 4.10 CONCLUSIONS The various modules are implemented using GALS technology. Each module will run with different frequency. If the modules are operating synchronously, the critical path will be further reduced since it has to take care of input block delay, central block delay and output block delay (The total delay = 1/64 + 1/64 + 1/13.7 = 9.6 ns). The throughput will be reduced.

27 90 If the module is operated with 64 MHz, then the central block will take few clock cycles to finish the operation. The delay of the whole block will be ns. If the FFT design uses GALS approach, the power required will be (P α f i.e. P1 α 64 and P2 α 13.7) 13.7/64 = 21 % of the synchronous operation. The speed of each block is shown in Table 4.3. Table 4.3 Speed of operation of various blocks Sl. No. Description Delay (speed of operation) 1 Input block GALS implementation 64 MHz 2 Output block GALS implementation 64 MHz 3 Central block GALS implementation 13.7 MHz 4 Synchronous implementation 9.6 MHz Another advantage is that there will not be any clock skew, since the design is divided into three blocks and each block is operating at different frequency.

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer Mohit Arora The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits Springer Contents 1 The World of Metastability 1 1.1 Introduction 1 1.2 Theory of Metastability 1 1.3 Metastability

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

1/19/2012. Timing in Asynchronous Circuits

1/19/2012. Timing in Asynchronous Circuits Timing in Asynchronous Circuits 1 What do we mean by clock? The system clock for an integrated circuit is a voltage signal that pulses at a regular frequency. 1 0 Time The clock tells each stage of a circuit

More information

Implementing Logic with the Embedded Array

Implementing Logic with the Embedded Array Implementing Logic with the Embedded Array in FLEX 10K Devices May 2001, ver. 2.1 Product Information Bulletin 21 Introduction Altera s FLEX 10K devices are the first programmable logic devices (PLDs)

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication

Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication Marco Storto and Roberto Saletti Dipartimento di Ingegneria della Informazione: Elettronica, Informatica,

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

R Using the Virtex Delay-Locked Loop

R Using the Virtex Delay-Locked Loop Application Note: Virtex Series XAPP132 (v2.4) December 20, 2001 Summary The Virtex FPGA series offers up to eight fully digital dedicated on-chip Delay-Locked Loop (DLL) circuits providing zero propagation

More information

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs Thomas Olsson, Peter Nilsson, and Mats Torkelson. Dept of Applied Electronics, Lund University. P.O. Box 118, SE-22100,

More information

Department of Electrical and Computer Systems Engineering

Department of Electrical and Computer Systems Engineering Department of Electrical and Computer Systems Engineering Technical Report MECSE-31-2005 Asynchronous Self Timed Processing: Improving Performance and Design Practicality D. Browne and L. Kleeman Asynchronous

More information

ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION

ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION 98 Chapter-5 ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION 99 CHAPTER-5 Chapter 5: ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION S.No Name of the Sub-Title Page

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Clock Networks and Phase Lock Loops on Altera Cyclone V Devices Dr. D. J. Jackson Lecture 9-1 Global Clock Network & Phase-Locked Loops Clock management is important within digital

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

FPGA Based System Design

FPGA Based System Design FPGA Based System Design Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 Why VLSI? Integration improves the design: higher speed; lower power; physically smaller. Integration reduces

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

VLSI Design: Challenges and Promise

VLSI Design: Challenges and Promise VLSI Design: Challenges and Promise An Overview Dinesh Sharma Electronic Systems, EE Department IIT Bombay, Mumbai September 11, 2015 Impact of Microelectronics Microelectronics has transformed life styles

More information

Area Efficient Fft/Ifft Processor for Wireless Communication

Area Efficient Fft/Ifft Processor for Wireless Communication IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 3, Ver. III (May-Jun. 2014), PP 17-21 e-issn: 2319 4200, p-issn No. : 2319 4197 Area Efficient Fft/Ifft Processor for Wireless Communication

More information

QDI Fine-Grain Pipeline Templates

QDI Fine-Grain Pipeline Templates QDI Fine-Grain Pipeline Templates Peter. eerel University of Southern alifornia Outline synchronous Latches Fine Grain Pipelining Weak ondition Half uffer Template uffer Logic Examples Precharge Full uffer

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

Software Design of Digital Receiver using FPGA

Software Design of Digital Receiver using FPGA Software Design of Digital Receiver using FPGA G.C.Kudale 1, Dr.B.G.Patil 2, K. Aurobindo 3 1PG Student, Department of Electronics Engineering, Walchand College of Engineering, Sangli, Maharashtra, 2Associate

More information

MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng.

MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng. MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng., UCLA - http://nanocad.ee.ucla.edu/ 1 Outline Introduction

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

Digital Receiver Experiment or Reality. Harry Schultz AOC Aardvark Roost Conference Pretoria 13 November 2008

Digital Receiver Experiment or Reality. Harry Schultz AOC Aardvark Roost Conference Pretoria 13 November 2008 Digital Receiver Experiment or Reality Harry Schultz AOC Aardvark Roost Conference Pretoria 13 November 2008 Contents Definition of a Digital Receiver. Advantages of using digital receiver techniques.

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

Timing Issues in FPGA Synchronous Circuit Design

Timing Issues in FPGA Synchronous Circuit Design ECE 428 Programmable ASIC Design Timing Issues in FPGA Synchronous Circuit Design Haibo Wang ECE Department Southern Illinois University Carbondale, IL 62901 1-1 FPGA Design Flow Schematic capture HDL

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits Lec Sequential CMOS Logic Circuits Sequential Logic In Combinational Logic circuit Out Memory Sequential The output is determined by Current inputs Previous inputs Output = f(in, Previous In) The regenerative

More information

Resonant Clock Design for a Power-efficient, High-volume. x86-64 Microprocessor

Resonant Clock Design for a Power-efficient, High-volume. x86-64 Microprocessor Resonant Clock Design for a Power-efficient, High-volume x86-64 Microprocessor Visvesh Sathe 1, Srikanth Arekapudi 2, Alexander Ishii 3, Charles Ouyang 2, Marios Papaefthymiou 3,4, Samuel Naffziger 1 1

More information

Just-In-Time Power Gating of GasP Circuits

Just-In-Time Power Gating of GasP Circuits Portland State University PDXScholar Dissertations and Theses Dissertations and Theses Winter 2-13-2013 Just-In-Time Power Gating of GasP Circuits Prachi Gulab Padwal Portland State University Let us know

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

Integrated Circuit Design for High-Speed Frequency Synthesis

Integrated Circuit Design for High-Speed Frequency Synthesis Integrated Circuit Design for High-Speed Frequency Synthesis John Rogers Calvin Plett Foster Dai ARTECH H O US E BOSTON LONDON artechhouse.com Preface XI CHAPTER 1 Introduction 1 1.1 Introduction to Frequency

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

Lecture 9: Clocking for High Performance Processors

Lecture 9: Clocking for High Performance Processors Lecture 9: Clocking for High Performance Processors Computer Systems Lab Stanford University horowitz@stanford.edu Copyright 2001 Mark Horowitz EE371 Lecture 9-1 Horowitz Overview Reading Bailey Stojanovic

More information

A 7ns, 6mA, Single-Supply Comparator Fabricated on Linear s 6GHz Complementary Bipolar Process

A 7ns, 6mA, Single-Supply Comparator Fabricated on Linear s 6GHz Complementary Bipolar Process A 7ns, 6mA, Single-Supply Comparator Fabricated on Linear s 6GHz Complementary Bipolar Process Introduction The is an ultrafast (7ns), low power (6mA), single-supply comparator designed to operate on either

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES

CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES 44 CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES 3.1 INTRODUCTION The design of high-speed and low-power VLSI architectures needs efficient arithmetic processing units,

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

Design Of A Parallel Pipelined FFT Architecture With Reduced Number Of Delays

Design Of A Parallel Pipelined FFT Architecture With Reduced Number Of Delays Design Of A Parallel Pipelined FFT Architecture With Reduced Number Of Delays Kiranraj A. Tank Department of Electronics Y.C.C.E, Nagpur, Maharashtra, India Pradnya P. Zode Department of Electronics Y.C.C.E,

More information

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES 69 CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES 4.1 INTRODUCTION Multiplication is one of the basic functions used in digital signal processing. It requires more

More information

VLSI Implementation & Design of Complex Multiplier for T Using ASIC-VLSI

VLSI Implementation & Design of Complex Multiplier for T Using ASIC-VLSI International Journal of Electronics Engineering, 1(1), 2009, pp. 103-112 VLSI Implementation & Design of Complex Multiplier for T Using ASIC-VLSI Amrita Rai 1*, Manjeet Singh 1 & S. V. A. V. Prasad 2

More information

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 10 April 2016 ISSN (online): 2349-784X An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band

More information

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE A Novel Approach of -Insensitive Null Convention Logic Microprocessor Design J. Asha Jenova Student, ECE Department, Arasu Engineering College, Tamilndu,

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER JDT-003-2013 LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER 1 Geetha.R, II M Tech, 2 Mrs.P.Thamarai, 3 Dr.T.V.Kirankumar 1 Dept of ECE, Bharath Institute of Science and Technology

More information

Increasing Performance Requirements and Tightening Cost Constraints

Increasing Performance Requirements and Tightening Cost Constraints Maxim > Design Support > Technical Documents > Application Notes > Power-Supply Circuits > APP 3767 Keywords: Intel, AMD, CPU, current balancing, voltage positioning APPLICATION NOTE 3767 Meeting the Challenges

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm V.Sandeep Kumar Assistant Professor, Indur Institute Of Engineering & Technology,Siddipet

More information

8-Bit, high-speed, µp-compatible A/D converter with track/hold function ADC0820

8-Bit, high-speed, µp-compatible A/D converter with track/hold function ADC0820 8-Bit, high-speed, µp-compatible A/D converter with DESCRIPTION By using a half-flash conversion technique, the 8-bit CMOS A/D offers a 1.5µs conversion time while dissipating a maximum 75mW of power.

More information

Design A Redundant Binary Multiplier Using Dual Logic Level Technique

Design A Redundant Binary Multiplier Using Dual Logic Level Technique Design A Redundant Binary Multiplier Using Dual Logic Level Technique Sreenivasa Rao Assistant Professor, Department of ECE, Santhiram Engineering College, Nandyala, A.P. Jayanthi M.Tech Scholar in VLSI,

More information

New Current-Sense Amplifiers Aid Measurement and Control

New Current-Sense Amplifiers Aid Measurement and Control AMPLIFIER AND COMPARATOR CIRCUITS BATTERY MANAGEMENT CIRCUIT PROTECTION Mar 13, 2000 New Current-Sense Amplifiers Aid Measurement and Control This application note details the use of high-side current

More information

Low Power R4SDC Pipelined FFT Processor Architecture

Low Power R4SDC Pipelined FFT Processor Architecture IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) e-issn: 2319 4200, p-issn No. : 2319 4197 Volume 1, Issue 6 (Mar. Apr. 2013), PP 68-75 Low Power R4SDC Pipelined FFT Processor Architecture Anjana

More information

Design of Sub-10-Picoseconds On-Chip Time Measurement Circuit

Design of Sub-10-Picoseconds On-Chip Time Measurement Circuit Design of Sub-0-Picoseconds On-Chip Time Measurement Circuit M.A.Abas, G.Russell, D.J.Kinniment Dept. of Electrical and Electronic Eng., University of Newcastle Upon Tyne, UK Abstract The rapid pace of

More information

A FFT/IFFT Soft IP Generator for OFDM Communication System

A FFT/IFFT Soft IP Generator for OFDM Communication System A FFT/IFFT Soft IP Generator for OFDM Communication System Tsung-Han Tsai, Chen-Chi Peng and Tung-Mao Chen Department of Electrical Engineering, National Central University Chung-Li, Taiwan Abstract: -

More information

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck Synchronous Mirror Delays ECG 721 Memory Circuit Design Kevin Buck 11/25/2015 Introduction A synchronous mirror delay (SMD) is a type of clock generation circuit Unlike DLLs and PLLs an SMD is an open

More information

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and 1 Chapter 1 INTRODUCTION 1.1. Introduction In the industrial applications, many three-phase loads require a supply of Variable Voltage Variable Frequency (VVVF) using fast and high-efficient electronic

More information

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR Yang-Shyung Shyu * and Jiin-Chuan Wu Dept. of Electronics Engineering, National Chiao-Tung University 1001 Ta-Hsueh Road, Hsin-Chu, 300, Taiwan * E-mail:

More information

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers Muhammad Nummer and Manoj Sachdev University of Waterloo, Ontario, Canada mnummer@vlsi.uwaterloo.ca, msachdev@ece.uwaterloo.ca

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Keywords SEFDM, OFDM, FFT, CORDIC, FPGA.

Keywords SEFDM, OFDM, FFT, CORDIC, FPGA. Volume 4, Issue 11, November 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Future to

More information

DLL Based Frequency Multiplier

DLL Based Frequency Multiplier DLL Based Frequency Multiplier Final Project Report VLSI Chip Design Project Project Group 4 Version 1.0 Status Reviewed Approved Ameya Bhide Ameya Bhide TSEK06 VLSI Design Project 1 of 29 Group 4 PROJECT

More information

Multiple Reference Clock Generator

Multiple Reference Clock Generator A White Paper Presented by IPextreme Multiple Reference Clock Generator Digitial IP for Clock Synthesis August 2007 IPextreme, Inc. This paper explains the concept behind the Multiple Reference Clock Generator

More information

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright Geared Oscillator Project Final Design Review Nick Edwards Richard Wright This paper outlines the implementation and results of a variable-rate oscillating clock supply. The circuit is designed using a

More information

DESIGN OF LOW POWER HIGH SPEED ERROR TOLERANT ADDERS USING FPGA

DESIGN OF LOW POWER HIGH SPEED ERROR TOLERANT ADDERS USING FPGA International Journal of Advanced Research in Engineering and Technology (IJARET) Volume 10, Issue 1, January February 2019, pp. 88 94, Article ID: IJARET_10_01_009 Available online at http://www.iaeme.com/ijaret/issues.asp?jtype=ijaret&vtype=10&itype=1

More information

An Efficient Design of Parallel Pipelined FFT Architecture

An Efficient Design of Parallel Pipelined FFT Architecture www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 3, Issue 10 October, 2014 Page No. 8926-8931 An Efficient Design of Parallel Pipelined FFT Architecture Serin

More information

SPIRO SOLUTIONS PVT LTD

SPIRO SOLUTIONS PVT LTD VLSI S.NO PROJECT CODE TITLE YEAR ANALOG AMS(TANNER EDA) 01 ITVL01 20-Mb/s GFSK Modulator Based on 3.6-GHz Hybrid PLL With 3-b DCO Nonlinearity Calibration and Independent Delay Mismatch Control 02 ITVL02

More information

Low-overhead solutions for clock generation and synchronization.

Low-overhead solutions for clock generation and synchronization. Low-overhead solutions for clock generation and synchronization. Monday, March 10/ 2003 A presentation in the series on ULSI Configurable Systems. Gord Allan PhD Candidate Carleton University Outline Presentation

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Lab 1.2 Joystick Interface

Lab 1.2 Joystick Interface Lab 1.2 Joystick Interface Lab 1.0 + 1.1 PWM Software/Hardware Design (recap) The previous labs in the 1.x series put you through the following progression: Lab 1.0 You learnt some theory behind how one

More information

Advances in Antenna Measurement Instrumentation and Systems

Advances in Antenna Measurement Instrumentation and Systems Advances in Antenna Measurement Instrumentation and Systems Steven R. Nichols, Roger Dygert, David Wayne MI Technologies Suwanee, Georgia, USA Abstract Since the early days of antenna pattern recorders,

More information

a8259 Features General Description Programmable Interrupt Controller

a8259 Features General Description Programmable Interrupt Controller a8259 Programmable Interrupt Controller July 1997, ver. 1 Data Sheet Features Optimized for FLEX and MAX architectures Offers eight levels of individually maskable interrupts Expandable to 64 interrupts

More information

ATA Memo No. 40 Processing Architectures For Complex Gain Tracking. Larry R. D Addario 2001 October 25

ATA Memo No. 40 Processing Architectures For Complex Gain Tracking. Larry R. D Addario 2001 October 25 ATA Memo No. 40 Processing Architectures For Complex Gain Tracking Larry R. D Addario 2001 October 25 1. Introduction In the baseline design of the IF Processor [1], each beam is provided with separate

More information

IJSRD - International Journal for Scientific Research & Development Vol. 5, Issue 07, 2017 ISSN (online):

IJSRD - International Journal for Scientific Research & Development Vol. 5, Issue 07, 2017 ISSN (online): IJSRD - International Journal for Scientific Research & Development Vol. 5, Issue 07, 2017 ISSN (online): 2321-0613 Analysis of High Performance & Low Power Shift Registers using Pulsed Latch Technique

More information

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Assistant Professor, E Mail: manoj.jvwu@gmail.com Department of Electronics and Communication Engineering Baldev Ram Mirdha Institute

More information

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN An efficient add multiplier operator design using modified Booth recoder 1 I.K.RAMANI, 2 V L N PHANI PONNAPALLI 2 Assistant Professor 1,2 PYDAH COLLEGE OF ENGINEERING & TECHNOLOGY, Visakhapatnam,AP, India.

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

HIGH LOW Astable multivibrators HIGH LOW 1:1

HIGH LOW Astable multivibrators HIGH LOW 1:1 1. Multivibrators A multivibrator circuit oscillates between a HIGH state and a LOW state producing a continuous output. Astable multivibrators generally have an even 50% duty cycle, that is that 50% of

More information

Commsonic. General-purpose FFT core CMS0001. Contact information. Typical applications include COFDM modems for a, and DVB-T.

Commsonic. General-purpose FFT core CMS0001. Contact information. Typical applications include COFDM modems for a, and DVB-T. General-purpose FFT core CMS0001 Typical applications include COFDM modems for 802.11a, 802.16 and DVB-T. Synthesis controls allow FFT sizes = 2 n with support for multiple run-time sizes such as 2k/4k/8k

More information

CHAPTER 6 ANALYSIS OF THREE PHASE HYBRID SCHEME WITH VIENNA RECTIFIER USING PV ARRAY AND WIND DRIVEN INDUCTION GENERATORS

CHAPTER 6 ANALYSIS OF THREE PHASE HYBRID SCHEME WITH VIENNA RECTIFIER USING PV ARRAY AND WIND DRIVEN INDUCTION GENERATORS 73 CHAPTER 6 ANALYSIS OF THREE PHASE HYBRID SCHEME WITH VIENNA RECTIFIER USING PV ARRAY AND WIND DRIVEN INDUCTION GENERATORS 6.1 INTRODUCTION Hybrid distributed generators are gaining prominence over the

More information

Asynchronous Pipeline Controller Based on Early Acknowledgement Protocol

Asynchronous Pipeline Controller Based on Early Acknowledgement Protocol ISSN 1346-5597 NII Technical Report Asynchronous Pipeline Controller Based on Early Acknowledgement Protocol Chammika Mannakkara and Tomohiro Yoneda NII-2008-009E Sept. 2008 1 PAPER Asynchronous Pipeline

More information

Lab 2.2 Custom slave programmable interface

Lab 2.2 Custom slave programmable interface Lab 2.2 Custom slave programmable interface Introduction In the previous labs, you used a system integration tool (Qsys) to create a full FPGA-based system comprised of a processor, on-chip memory, a JTAG

More information

STRS COMPLIANT FPGA WAVEFORM DEVELOPMENT

STRS COMPLIANT FPGA WAVEFORM DEVELOPMENT STRS COMPLIANT FPGA WAVEFORM DEVELOPMENT Jennifer Nappier (Jennifer.M.Nappier@nasa.gov); Joseph Downey (Joseph.A.Downey@nasa.gov); NASA Glenn Research Center, Cleveland, Ohio, United States Dale Mortensen

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

[Krishna, 2(9): September, 2013] ISSN: Impact Factor: INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

[Krishna, 2(9): September, 2013] ISSN: Impact Factor: INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Design of Wallace Tree Multiplier using Compressors K.Gopi Krishna *1, B.Santhosh 2, V.Sridhar 3 gopikoleti@gmail.com Abstract

More information

Spec. Instructor: Center

Spec. Instructor: Center PDHonline Course E379 (5 PDH) Digital Logic Circuits Volume III Spec ial Logic Circuits Instructor: Lee Layton, P.E 2012 PDH Online PDH Center 5272 Meadow Estatess Drive Fairfax, VA 22030-6658 Phone &

More information

DS1075 EconOscillator/Divider

DS1075 EconOscillator/Divider EconOscillator/Divider www.dalsemi.com FEATURES Dual Fixed frequency outputs (30 KHz - 100 MHz) User-programmable on-chip dividers (from 1-513) User-programmable on-chip prescaler (1, 2, 4) No external

More information

A Fast-Transient Wide-Voltage-Range Digital- Controlled Buck Converter with Cycle- Controlled DPWM

A Fast-Transient Wide-Voltage-Range Digital- Controlled Buck Converter with Cycle- Controlled DPWM A Fast-Transient Wide-Voltage-Range Digital- Controlled Buck Converter with Cycle- Controlled DPWM Abstract: This paper presents a wide-voltage-range, fast-transient all-digital buck converter using a

More information

Design of Adjustable Reconfigurable Wireless Single Core

Design of Adjustable Reconfigurable Wireless Single Core IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 6, Issue 2 (May. - Jun. 2013), PP 51-55 Design of Adjustable Reconfigurable Wireless Single

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code:

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code: Global Journal of researches in engineering Electrical and electronics engineering Volume 12 Issue 3 Version 1.0 March 2012 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global

More information

Unit-6 PROGRAMMABLE INTERRUPT CONTROLLERS 8259A-PROGRAMMABLE INTERRUPT CONTROLLER (PIC) INTRODUCTION

Unit-6 PROGRAMMABLE INTERRUPT CONTROLLERS 8259A-PROGRAMMABLE INTERRUPT CONTROLLER (PIC) INTRODUCTION M i c r o p r o c e s s o r s a n d M i c r o c o n t r o l l e r s P a g e 1 PROGRAMMABLE INTERRUPT CONTROLLERS 8259A-PROGRAMMABLE INTERRUPT CONTROLLER (PIC) INTRODUCTION Microcomputer system design requires

More information

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS Satish Mohanakrishnan and Joseph B. Evans Telecommunications & Information Sciences Laboratory Department of Electrical Engineering

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information