VLSI Design I; A. Milenkovic 1

Size: px
Start display at page:

Download "VLSI Design I; A. Milenkovic 1"

Transcription

1 E/EE, E 5 VLI Design I L: dder Design Department of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic ( www. ece.uah.edu/~milenka ) www. ece.uah.edu/~milenka/cpe5-f [dapted from Rabaey s Digital Integrated ircuits,, J. Rabaey et al. and Mary Jane Irwin ( www. cse. psu.edu/~mji ) ] ourse dministration Instructor: leksandar Milenkovic milenka@ece.uah.edu EB -L Office Hrs: MW :-: T: Fathima Tareen roject pr.: For schedule Follow conventions for ppt file names Timing, content,... HW#: Due // roject: Reports due // Design submission due // (arrange with instructor & lab instructor) // VLI Design I;. Milenkovic Review: Basic Building Blocks Datapath Execution units dder, multiplier, divider, shifter, etc. Register file and pipeline registers Multiplexers, decoders ontrol Finite state machines (L, ROM, random logic) Interconnect witches, arbiters, buses Memory aches (RMs), TLBs, DRMs, buffers // VLI Design I;. Milenkovic VLI Design I;. Milenkovic

2 in The -bit Binary dder B -bit Full dder (F) out How can we modify it easily to build an adder/subtractor? How can we make it better (faster, lower power, smaller)? B carry status kill kill propagate propagate propagate propagate generate generate &B B B in in K! &!B out &B & in B& in (majority function) & in How can we use it to build a 6-bit adder? // VLI Design I;. Milenkovic in out F ate Level Implementations The way you learned to design in EE and E B in B in t t t t t t out out // VLI Design I;. Milenkovic 5 arry-look -head dder L () Idea: speed up carry computation i i i* i ropagate: i i B i if i, then carry from (i-)th stage is propagated enerate: i i *B i if i there is carry out i i Bi i i B i i i Bi i i i i i i i (i i i) i i i i i i i i i i i (i i i) i i i i i i i i i i // VLI Design I;. Milenkovic 6 VLI Design I;. Milenkovic

3 VLI Design I;. Milenkovic // VLI Design I;. Milenkovic arry-look -head dder L () enerator arry enerate Block um enerator B B B B // VLI Design I;. Milenkovic arry-look -head dder L () ) ( ) ( ) ( // VLI Design I;. Milenkovic 9 arry-look -head dder L (5) : B : : - B B B

4 Review: XOR F in B out 6 transistors // VLI Design I;. Milenkovic Review: L F!B B! in in!! B!B in! in B!!B in! in! out out transistors, dual rail beware of threshold drops // VLI Design I;. Milenkovic Delay Balanced F B!B in! Identical Delays for arry and um B p! out in in!b!!! eration ignal set-up transistors arry generation // VLI Design I;. Milenkovic VLI Design I;. Milenkovic

5 Review: Mirror dder transistors B B B B in -propagate kill 6 6 in! out in 6! -propagate generate in B B B in B out &B B& in & in UM &B& in OUT &( B in ) izing: Each input in the carry circuit has a logical effort of so the optimal fan-out for each is also. ince! out drives internal and inverter transistor gates (to form in for the nms bit adder) should oversize the carry circuit. MO/NMO ratio of. // VLI Design I;. Milenkovic Mirror dder Features The NMO and MO chains are completely symmetrical with a maximum of two series transistors in the carry circuitry,guaranteeing identical rise and fall transitions if the NMO and MO devices are properly sized. When laying out the cell, the most critical issue is the minimization of the capacitances at node! out (four diffusion capacitances, two internal gate capacitances, and two inverter gate capacitances). hared diffusions can reduce the stack node capacitances. The transistors connected to in are placed closest to the output. Only the transistors in the carry stage have to be optimized for optimal speed. ll transistors in the sum stage can be minimal size. // VLI Design I;. Milenkovic 6-bit dder/ubtractor Ripple arry dder (R) built out of 6 Fs ubtraction complement all subtrahend bits (xor gates) and set the low order carry-in R advantage: simple logic, so small (low cost) disadvantage: slow (O(N) for N bits) and lots of glitching (so lots of energy consumption) add/subt B B B B 6 6 in -bit F -bit F -bit F bit F 6 6 out // VLI Design I;. Milenkovic 5 VLI Design I;. Milenkovic 5

6 Ripple arry dder (R) B B B B out F F F F in T adder T F (,B out ) (N-)T F ( in out ) T F ( in ) T O(N) worst case delay Real oal: Make the fastest possible carry path // VLI Design I;. Milenkovic 6 Inversion roperty Inverting all inputs to a F results in inverted values for all outputs B B out F in out F in! (, B, in ) (!,!B,! in )! out (, B, in ) out (!,!B,! in ) // VLI Design I;. Milenkovic Exploiting the Inversion roperty B B B B out F F F F in inverted cell regular cell Minimizes the critical path (the carry chain) by eliminating inverters between the Fs (will need to increase the transistor sizing on the carry chain portion of the mirror adder). Now need two flavors of Fs // VLI Design I;. Milenkovic VLI Design I;. Milenkovic 6

7 Fast arry hain Design The key to fast addition is a low latency carry network What matters is whether in a given position a carry is generated i i & B i i B i propagated i i B i (sometimes use i B i ) annihilated (killed) K i! i &!B i iving a carry recurrence of i i i i // VLI Design I;. Milenkovic 9 Fast arry hain Design The key to fast addition is a low latency carry network What matters is whether in a given position a carry is generated i i & B i i B i propagated i i B i (sometimes use i B i ) annihilated (killed) K i! i &!B i iving a carry recurrence of i i i i // VLI Design I;. Milenkovic Manchester arry hain witches controlled by i and i! i i i! i clk Total delay of time to form the switch control signals i and i setup time for the switches signal propagation delay through N switches in the worst case // VLI Design I;. Milenkovic VLI Design I;. Milenkovic

8 -bit liced M dder B B B B clk & & & &!!!!! // VLI Design I;. Milenkovic Domino Manchester arry hain ircuit clk i, i, clk!( i, )!( i, )!( i, )!( i, ) // VLI Design I;. Milenkovic Binary dder Landscape synchronous word parallel adders ripple carry adders (R) T O(N), O(N) carry prop min adders signed-digit fast carry prop residue adders adders adders T O(), O(N) Manchester carry parallel conditional carry carry chain select prefix sum skip T O(N) O(N) T O(log N) O(N log N) T O( N), O(N) // VLI Design I;. Milenkovic VLI Design I;. Milenkovic

9 arry-kip (arry-bypass) dder B B B B o, F F F F i, o, B Block ropagate If ( & & & ) then o, i, otherwise the block itself kills or generates the carry internally // VLI Design I;. Milenkovic 5 arry-kip hain Implementation carry-out block carry-out B block carry-in! out in B // VLI Design I;. Milenkovic 6 -bit Block arry-kip dder bits to 5 bits to bits to bits to arry ropagation arry ropagation arry ropagation arry ropagation i, um um um um Worst-case delay carry from bit to bit 5 carry generated in bit, ripples through bits,, and, skips the middle two groups (B is the group size in bits), ripples in the last group from bit to bit 5 T add t setup B t carry ((N/B) -) t skip B t carry t sum // VLI Design I;. Milenkovic VLI Design I;. Milenkovic 9

10 Optimal Block ize and Time ssuming one stage of ripple (t carry ) has the same delay as one skip logic stage (t skip ) and both are T k B (N/B-) B t setup ripple in skips ripple in t sum block last block B N/B o the optimal block size, B, is dt k /db (N/) B opt nd the optimal time is Optimal T k ( (N)) // VLI Design I;. Milenkovic Variable block sizes arry-kip dder Extensions carry that is generated in, or absorbed by, one of the inner blocks travels a shorter distance through the skip blocks, so can have bigger blocks for the inner carries without increasing the overall delay out in Multiple levels of skip logic out in skip level skip level ND of the first level skip signals (B s) // VLI Design I;. Milenkovic 9 arry-kip dder omparisons 6 5 B B B B5 B6 R k Vk bits 6 bits bits bits 6 bits // VLI Design I;. Milenkovic VLI Design I;. Milenkovic

11 arry elect dder s B s recompute the carry out of each block for both carry_in and carry_in (can be done for all blocks in parallel) and then select the correct one out -b s s carry propagation carry propagation multiplexer s eration in // VLI Design I;. Milenkovic arry elect dder: ritical ath bits to 5 bits to bits to bits to s s s s s s s s carry carry carry carry carry carry carry carry out s s s s in // VLI Design I;. Milenkovic arry elect dder: ritical ath bits to 5 bits to bits to bits to s s s s s s s s carry carry carry carry carry carry carry carry out s s s s in T add t setup B t carry N/B t t sum // VLI Design I;. Milenkovic VLI Design I;. Milenkovic

12 quare Root arry elect dder s bits to B s 9 bits 9 to bits 5 to bits to bits to s s s s s s s s s s carry carry carry carry carry carry carry carry carry carry out s s s s in s // VLI Design I;. Milenkovic quare Root arry elect dder s bits to B s 9 bits 9 to bits 5 to bits to s Bs bits to s B s s s s s s s s s s s carry 6 carry carry 5 carry carry carry carry carry carry carry out s um gen s s s in s T add t setup t carry vn t t sum // VLI Design I;. Milenkovic 5 arallel refix dders (s) Define carry operator on (,) signal pairs (, ) (, ) where (,)! is associative, i.e., [(g,p ) (g,p )] (g,p ) (g,p ) [(g,p ) (g,p )] // VLI Design I;. Milenkovic 6 VLI Design I;. Milenkovic

13 arallel refix omputation T log N - log N T log N arallel refix omputation T log N - log N T log N eneral tructure iven and terms for each bit position, computing all the carries is equal to finding all the prefixes in parallel (, ) (, ) (, ) ( N-, N- ) ( N-, N- ) ince is associative, we can group them in any order but note that it is not commutative i, i logic ( unit delay) i parallel prefix logic tree ( unit delay per level) i logic ( unit delay) Measures to consider number of cells tree cell depth (time) tree cell area cell fan-in and fan-out max wiring length wiring congestion delay path variation (glitching) // VLI Design I;. Milenkovic Brent-Kung 5 p 5 p p 9 p p p in N/ 6 5 // VLI Design I;. Milenkovic Brent-Kung 5 p 5 p p 9 p p p in N/ 6 5 // VLI Design I;. Milenkovic 9 VLI Design I;. Milenkovic

14 arallel refix omputation T log N log N Kogge-tone F dder in N 6 5 T add t setup log N t t sum // VLI Design I;. Milenkovic 6 More dder omparisons 5 R k Vk K bits 6 bits bits bits 6 bits // VLI Design I;. Milenkovic dder peed omparisons 6 5 R M k Vk l B&K 6 bits bits 6 bits // VLI Design I;. Milenkovic VLI Design I;. Milenkovic

15 5 dder verage ower omparisons 5 5 R M k Vk l B&K 5 6 bits bits 6 bits // VLI Design I;. Milenkovic D of dder omparisons 6 R M k Vk l BK bits 6 bits bits bits 6 bits From Nagendra, 996 // VLI Design I;. Milenkovic VLI Design I;. Milenkovic 5

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 E 66 dvanced VLI Design dder Design Department of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic ( www. ece.uah.edu/~milenka ) [dapted from Rabaey s Digital

More information

Lecture 14: Datapath Functional Units Adders

Lecture 14: Datapath Functional Units Adders Lecture 14: Datapath Functional Units dders Mark Horowitz omputer Systems Laboratory Stanford University horowitz@stanford.edu MH EE271 Lecture 14 1 Overview Reading W&E 8.2.1 - dders References Hennessy

More information

I/O Design EE141. Announcements. EE141-Fall 2006 Digital Integrated Circuits. Class Material. Pads + ESD Protection.

I/O Design EE141. Announcements. EE141-Fall 2006 Digital Integrated Circuits. Class Material. Pads + ESD Protection. EE141-Fall 2006 Digital Integrated Circuits nnouncements Homework 9 due on Thursday Lecture 26 I/O 1 2 Class Material Last lecture Timing Clock distribution Today s lecture I/O Power distribution Intro

More information

EE141-Spring 2007 Digital Integrated Circuits

EE141-Spring 2007 Digital Integrated Circuits EE141-Spring 2007 Digital Integrated Circuits Lecture 22 I/O, Power Distribution dders 1 nnouncements Homework 9 has been posted Due Tu. pr. 24, 5pm Project Phase 4 (Final) Report due Mo. pr. 30, noon

More information

Combinational Logic Gates in CMOS

Combinational Logic Gates in CMOS Combinational Logic Gates in CMOS References: dapted from: Digital Integrated Circuits: Design Perspective, J. Rabaey UC Principles of CMOS VLSI Design: Systems Perspective, 2nd Ed., N. H. E. Weste and

More information

Reduced Swing Domino Techniques for Low Power and High Performance Arithmetic Circuits

Reduced Swing Domino Techniques for Low Power and High Performance Arithmetic Circuits Reduced Swing Domino Techniques for Low Power and High Performance Arithmetic Circuits by Shahrzad Naraghi A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Domino CMOS Implementation of Power Optimized and High Performance CLA adder Domino CMOS Implementation of Power Optimized and High Performance CLA adder Kistipati Karthik Reddy 1, Jeeru Dinesh Reddy 2 1 PG Student, BMS College of Engineering, Bull temple Road, Bengaluru, India

More information

Survey of VLSI Adders

Survey of VLSI Adders Survey of VLSI Adders Swathy.S 1, Vivin.S 2, Sofia Jenifer.S 3, Sinduja.K 3 1UG Scholar, Dept. of Electronics and Communication Engineering, SNS College of Technology, Coimbatore- 641035, Tamil Nadu, India

More information

Adder (electronics) - Wikipedia, the free encyclopedia

Adder (electronics) - Wikipedia, the free encyclopedia Page 1 of 7 Adder (electronics) From Wikipedia, the free encyclopedia (Redirected from Full adder) In electronics, an adder or summer is a digital circuit that performs addition of numbers. In many computers

More information

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 6 Combinational CMOS Circuit and Logic Design Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Advanced Reliable Systems (ARES) Lab. Jin-Fu Li,

More information

A High Speed Low Power Adder in Multi Output Domino Logic

A High Speed Low Power Adder in Multi Output Domino Logic Journal From the SelectedWorks of Kirat Pal Singh Winter November 28, 2014 High Speed Low Power dder in Multi Output Domino Logic Neeraj Jain, NIIST, hopal, India Puran Gour, NIIST, hopal, India rahmi

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

EE434 ASIC & Digital Systems

EE434 ASIC & Digital Systems EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Lecture 4 More on CMOS Gates Ref: Textbook chapter

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa atarina enter for Technology omputer Science & Electronics Engineering Integrated ircuits & Systems INE 5442 Lecture 16 MOS ombinational ircuits - 2 guntzel@inf.ufsc.br Pass

More information

Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1

Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1 Dynamic Logic Dynamic Circuits will be introduced and their performance in terms of power, area, delay, energy and AT 2 will be reviewed. We will review the following logic families: Domino logic P-E logic

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Ratioed Logic Introduction Digital IC EE141 2 Ratioed Logic design Basic concept Resistive load Depletion

More information

Research Article Delay Efficient 32-Bit Carry-Skip Adder

Research Article Delay Efficient 32-Bit Carry-Skip Adder VLSI Design Volume 2008, Article ID 218565, 8 pages doi:10.1155/2008/218565 Research Article Delay Efficient 32-Bit Carry-Skip Adder Yu Shen Lin and Damu Radhakrishnan Department of Electrical and Computer

More information

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Homework 5 this week Lab

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 13 Building Blocks (Multipliers) Register Adder Shift Register Adib Abrishamifar EE Department IUST Acknowledgement This lecture note has been summarized and categorized

More information

Computer Hardware Engineering (IS1200) Computer Organization and Components (IS1500) Fall 2017 Lecture 7: Combinational Logic

Computer Hardware Engineering (IS1200) Computer Organization and Components (IS1500) Fall 2017 Lecture 7: Combinational Logic Computer Hardware ngineering (I2) Computer Organization and Components (I5) Fall 27 Lecture 7: Combinational Logic Optional for I2, compulsory for I5 Fredrik Lundevall lides by David roman and Fredrik

More information

CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES

CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES 44 CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES 3.1 INTRODUCTION The design of high-speed and low-power VLSI architectures needs efficient arithmetic processing units,

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

EEC 118 Lecture #12: Dynamic Logic

EEC 118 Lecture #12: Dynamic Logic EEC 118 Lecture #12: Dynamic Logic Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Outline Today: Alternative MOS Logic Styles Dynamic MOS Logic Circuits: Rabaey

More information

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks Logic Restructuring Revisited Low Power VLSI System Design Lectures 4 & 5: Logic-Level Power Optimization Prof. R. Iris ahar September 8 &, 7 Logic restructuring: hanging the topology of a logic network

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

Implementation of 32-Bit Carry Select Adder using Brent-Kung Adder

Implementation of 32-Bit Carry Select Adder using Brent-Kung Adder Journal From the SelectedWorks of Kirat Pal Singh Winter November 17, 2016 Implementation of 32-Bit Carry Select Adder using Brent-Kung Adder P. Nithin, SRKR Engineering College, Bhimavaram N. Udaya Kumar,

More information

Outline. EECS Components and Design Techniques for Digital Systems. Lec 12 - Timing. General Model of Synchronous Circuit

Outline. EECS Components and Design Techniques for Digital Systems. Lec 12 - Timing. General Model of Synchronous Circuit Outline EES 5 - omponents and esign Techniques for igital Systems Lec 2 - Timing avid uller Electrical Engineering and omputer Sciences University of alifornia, erkeley Performance Limits of Synchronous

More information

Chapter 11. Digital Integrated Circuit Design II. $Date: 2016/04/21 01:22:37 $ ECE 426/526, Chapter 11.

Chapter 11. Digital Integrated Circuit Design II. $Date: 2016/04/21 01:22:37 $ ECE 426/526, Chapter 11. Digital Integrated Circuit Design II ECE 426/526, $Date: 2016/04/21 01:22:37 $ Professor R. Daasch Depar tment of Electrical and Computer Engineering Portland State University Portland, OR 97207-0751 (daasch@ece.pdx.edu)

More information

A Taxonomy of Parallel Prefix Networks

A Taxonomy of Parallel Prefix Networks A Taxonomy of Parallel Prefix Networks David Harris Harvey Mudd College / Sun Microsystems Laboratories 31 E. Twelfth St. Claremont, CA 91711 David_Harris@hmc.edu Abstract - Parallel prefix networks are

More information

nmos, pmos - Enhancement and depletion MOSFET, threshold voltage, body effect

nmos, pmos - Enhancement and depletion MOSFET, threshold voltage, body effect COURSE DELIVERY PLAN - THEORY Page! 1 of! 7 Department of Electronics and Communication Engineering B.E/B.Tech/M.E/M.Tech : EC Regulation: 2016(Autonomous) PG Specialization : Not Applicable Sub. Code

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 7. Clocked and self-resetting logic I

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 7. Clocked and self-resetting logic I EE E6930 Advanced Digital Integrated Circuits Spring, 2002 Lecture 7. Clocked and self-resetting logic I References CBF, Chapter 8 DP, Section 4.3.3.1-4.3.3.4 Bernstein, High-speed CMOS design styles,

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 5.71 International Journal of Advance Engineering and Research Development Volume 5, Issue 05, May -2018 e-issn (O): 2348-4470 p-issn (P): 2348-6406 COMPARATIVE

More information

Low Power Parallel Prefix Adder Design Using Two Phase Adiabatic Logic

Low Power Parallel Prefix Adder Design Using Two Phase Adiabatic Logic Journal of Electrical and Electronic Engineering 2015; 3(6): 181-186 Published online December 7, 2015 (http://www.sciencepublishinggroup.com/j/jeee) doi: 10.11648/j.jeee.20150306.11 ISSN: 2329-1613 (Print);

More information

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS SURVEY ND EVLUTION OF LOW-POWER FULL-DDER CELLS hmed Sayed and Hussain l-saad Department of Electrical & Computer Engineering University of California Davis, C, U.S.. STRCT In this paper, we survey various

More information

CS8803: Advanced Digital Design for Embedded Hardware

CS8803: Advanced Digital Design for Embedded Hardware HPTER II-6 MO MO WITHE WITH NETWORK -WITHE IN ERIE -WITHE IN PRLLEL -INPUT ELETOR 883: dvanced Digital Design for Embedded Hardware Lecture : MO Transistors and Layout The idea is to use the series and

More information

An energy efficient full adder cell for low voltage

An energy efficient full adder cell for low voltage An energy efficient full adder cell for low voltage Keivan Navi 1a), Mehrdad Maeen 2, and Omid Hashemipour 1 1 Faculty of Electrical and Computer Engineering of Shahid Beheshti University, GC, Tehran,

More information

CSE 370 Winter Homework 5 Solutions

CSE 370 Winter Homework 5 Solutions CSE 370 Winter 2008 Homework 5 Solutions 1) Carry Look-Ahead Adder (CLA) a) add1 b) add4 c) cla4 d) cla16 e) Gate Count: 118 gates add1 : 3 gates add4 : 4*Add1 = 12 gates cla4 : 14 gates cla16: (4*Add4)

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R05310402 Set No. 1 1. (a) What are the parameters that are necessary to define the electrical characteristics of CMOS circuits? Mention the typical values of a CMOS NAND gate. (b) Design a CMOS

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

Abstract. 2. MUX Vs XOR-XNOR. 1. Introduction.

Abstract. 2. MUX Vs XOR-XNOR. 1. Introduction. Novel rchitectures for High-peed and Low-Power 3-, 4- and - Compressors reehari Veeramachaneni, Kirthi Krishna M, Lingamneni vinash, reekanth Reddy Puppala, M.. rinivas Centre for VLI and Embedded ystem

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R R 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

IES Digital Mock Test

IES Digital Mock Test . The circuit given below work as IES Digital Mock Test - 4 Logic A B C x y z (a) Binary to Gray code converter (c) Binary to ECESS- converter (b) Gray code to Binary converter (d) ECESS- To Gray code

More information

Computer Organization and Components

Computer Organization and Components Computer Organization and Components I5, fall 25 Lecture 7: Combinational Logic ssociate Professor, KTH Royal Institute of Technology ssistant Research ngineer, University of California, erkeley lides

More information

A Highly Efficient Carry Select Adder

A Highly Efficient Carry Select Adder IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 4 October 2015 ISSN (online): 2349-784X A Highly Efficient Carry Select Adder Shiya Andrews V PG Student Department of Electronics

More information

Index terms: Gate Diffusion Input (GDI), Complementary Metal Oxide Semiconductor (CMOS), Digital Signal Processing (DSP).

Index terms: Gate Diffusion Input (GDI), Complementary Metal Oxide Semiconductor (CMOS), Digital Signal Processing (DSP). GDI Based Design of Low Power Adders and Multipliers B.Shanmukhi Abstract: The multiplication and addition are the important operations in RISC Processor and DSP units. Specifically, speed and power efficient

More information

VLSI Design. Static CMOS Logic

VLSI Design. Static CMOS Logic VLSI esign Static MOS Logic [dapted from Rabaey s igital Integrated ircuits, 2002, J. Rabaey et al.] EE4121 Static MOS Logic.1 ZLM Review: MOS Process at a Glance efine active areas Etch and fill trenches

More information

A Novel Approach For Designing A Low Power Parallel Prefix Adders

A Novel Approach For Designing A Low Power Parallel Prefix Adders A Novel Approach For Designing A Low Power Parallel Prefix Adders R.Chaitanyakumar M Tech student, Pragati Engineering College, Surampalem (A.P, IND). P.Sunitha Assistant Professor, Dept.of ECE Pragati

More information

Lecture 9: Clocking for High Performance Processors

Lecture 9: Clocking for High Performance Processors Lecture 9: Clocking for High Performance Processors Computer Systems Lab Stanford University horowitz@stanford.edu Copyright 2001 Mark Horowitz EE371 Lecture 9-1 Horowitz Overview Reading Bailey Stojanovic

More information

Gdi Technique Based Carry Look Ahead Adder Design

Gdi Technique Based Carry Look Ahead Adder Design IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 6, Ver. I (Nov - Dec. 2014), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Gdi Technique Based Carry Look Ahead Adder Design

More information

FTL Based Carry Look ahead Adder Design Using Floating Gates

FTL Based Carry Look ahead Adder Design Using Floating Gates 0 International onference on ircuits, System and Simulation IPSIT vol.7 (0) (0) IASIT Press, Singapore FTL Based arry Look ahead Adder Design Using Floating Gates P.H.S.T.Murthy, K.haitanya, Malleswara

More information

DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE

DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE 1 S. DARWIN, 2 A. BENO, 3 L. VIJAYA LAKSHMI 1 & 2 Assistant Professor Electronics & Communication Engineering Department, Dr. Sivanthi

More information

Timing and Power Optimization Using Mixed- Dynamic-Static CMOS

Timing and Power Optimization Using Mixed- Dynamic-Static CMOS Wright State University CORE Scholar Browse all Theses and Dissertations Theses and Dissertations 2013 Timing and Power Optimization Using Mixed- Dynamic-Static CMOS Hao Xue Wright State University Follow

More information

Design of New Full Swing Low-Power and High- Performance Full Adder for Low-Voltage Designs

Design of New Full Swing Low-Power and High- Performance Full Adder for Low-Voltage Designs International Academic Institute for Science and Technology International Academic Journal of Science and Engineering Vol. 2, No., 201, pp. 29-. ISSN 2-9 International Academic Journal of Science and Engineering

More information

EE878 Special Topics in VLSI. Computer Arithmetic for Digital Signal Processing

EE878 Special Topics in VLSI. Computer Arithmetic for Digital Signal Processing EE878 Special Topics in VLSI Computer Arithmetic for Digital Signal Processing Part 5b Fast Addition - II Spring 2017 Koren Part.5b.1 Carry-Look-Ahead Addition Revisited Generalizing equations for fast

More information

A Comparison of Power Consumption in Some CMOS Adder Circuits

A Comparison of Power Consumption in Some CMOS Adder Circuits A Comparison of Power Consumption in Some CMOS Adder Circuits D.J. Kinniment *, J.D. Garside +, and B. Gao * * Electrical and Electronic Engineering Department, The University, Newcastle upon Tyne, NE1

More information

Synthesis of Combinational Logic

Synthesis of Combinational Logic Synthesis of ombinational Logic 6.4 Gates F = xor Handouts: Lecture Slides, PS3, Lab2 6.4 - Spring 2 2/2/ L5 Logic Synthesis Review: K-map Minimization ) opy truth table into K-Map 2) Identify subcubes,

More information

Exploring High-Speed Low-Power Hybrid Arithmetic Units at Scaled Supply and Adaptive Clock-Stretching

Exploring High-Speed Low-Power Hybrid Arithmetic Units at Scaled Supply and Adaptive Clock-Stretching Exploring High-Speed Low-Power Hybrid Arithmetic Units at Scaled Supply and Adaptive Clock-Stretching Swaroop Ghosh and Kaushik Roy School of Electrical and Computer Engineering, Purdue University, West

More information

Performance Comparison of VLSI Adders Using Logical Effort 1

Performance Comparison of VLSI Adders Using Logical Effort 1 Performance Comparison of VLSI Adders Using Logical Effort 1 Hoang Q. Dao and Vojin G. Oklobdzija Advanced Computer System Engineering Laboratory Department of Electrical and Computer Engineering University

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

Lecture 6-1. Data Path Circuits

Lecture 6-1. Data Path Circuits Lecture 6 Data Path Circuit Kontantino Maelo Department of Electrical & Electronic Engineering Imperial College London URL: http://ca.ee.ic.ac.uk/~kota E-mail: k.maelo@ic.ac.uk Data Path Circuit Introduction

More information

Contents. Preface. Abstract. 1 Introduction Overview... 1

Contents. Preface. Abstract. 1 Introduction Overview... 1 Abstract Current research efforts have yielded a large number of adder architectures resulting in a wide variety of adders that could be modified to yield optimal, least processing time delay and energy

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science MCHUETT INTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer cience 6.374: nalysis and Design of Digital Integrated Circuits Problem et # 4 s Fall 2003 Issued: 10/31/03 Problem 1: MTCMO

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

A Literature Survey on Low PDP Adder Circuits

A Literature Survey on Low PDP Adder Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 12, December 2015,

More information

LOW POWER HIGH SPEED MODIFIED SQRT CSLA DESIGN USING D-LATCH & BK ADDER

LOW POWER HIGH SPEED MODIFIED SQRT CSLA DESIGN USING D-LATCH & BK ADDER LOW POWER HIGH SPEED MODIFIED SQRT DESIGN USING D-LATCH & BK ADDER Athira.V.S 1, Shankari. C 2, R. Arun Sekar 3 1 (PG Student, Department of ECE, SNS College of Technology, Coimbatore-35, India, athira.sudhakaran.39@gmail.com)

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

16-bit Digital Adder Design in 250nm and 64-bit Digital Comparator Design in 90nm CMOS Technologies

16-bit Digital Adder Design in 250nm and 64-bit Digital Comparator Design in 90nm CMOS Technologies Wright State University CORE Scholar Browse all Theses and Dissertations Theses and Dissertations 2014 16-bit Digital Adder Design in 250nm and 64-bit Digital Comparator Design in 90nm CMOS Technologies

More information

An Efficient Higher Order And High Speed Kogge-Stone Based CSLA Using Common Boolean Logic

An Efficient Higher Order And High Speed Kogge-Stone Based CSLA Using Common Boolean Logic RESERCH RTICLE OPEN CCESS n Efficient Higher Order nd High Speed Kogge-Stone Based Using Common Boolean Logic Kuppampati Prasad, Mrs.M.Bharathi M. Tech (VLSI) Student, Sree Vidyanikethan Engineering College

More information

High Performance Low-Power Signed Multiplier

High Performance Low-Power Signed Multiplier High Performance Low-Power Signed Multiplier Amir R. Attarha Mehrdad Nourani VLSI Circuits & Systems Laboratory Department of Electrical and Computer Engineering University of Tehran, IRAN Email: attarha@khorshid.ece.ut.ac.ir

More information

ECE520 VLSI Design. Lecture 11: Combinational Static Logic. Prof. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 11: Combinational Static Logic. Prof. Payman Zarkesh-Ha EE520 VLSI esign Lecture 11: ombinational Static Logic Prof. Payman Zarkesh-Ha Office: EE ldg. 230 Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 eview of Last

More information

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors T.N.Priyatharshne Prof. L. Raja, M.E, (Ph.D) A. Vinodhini ME VLSI DESIGN Professor, ECE DEPT ME VLSI DESIGN

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

ISSN:

ISSN: 343 Comparison of different design techniques of XOR & AND gate using EDA simulation tool RAZIA SULTANA 1, * JAGANNATH SAMANTA 1 M.TECH-STUDENT, ECE, Haldia Institute of Technology, Haldia, INDIA ECE,

More information

Improved 32-bit Conditional Sum Adder for Low-Power High-Speed Applications

Improved 32-bit Conditional Sum Adder for Low-Power High-Speed Applications JOURNAL OF INFORMATION CIENCE AND ENGINEERING 22, 975-989 (26) hort Paper Improved 32-bit Conditional um Adder for Low-Power High-peed Applications KUO-HING CHENG AND HUN-WEN CHENG + Department of Electrical

More information

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin The Effect of Threshold Voltages on the Soft Error Rate - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin Outline Introduction Soft Errors High Threshold ( V t ) Charge Creation Logic Attenuation

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

High Speed, Low power and Area Efficient Processor Design Using Square Root Carry Select Adder

High Speed, Low power and Area Efficient Processor Design Using Square Root Carry Select Adder IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 2, Ver. VII (Mar - Apr. 2014), PP 14-18 High Speed, Low power and Area Efficient

More information

Design of ALU and Cache Memory for an 8 bit ALU

Design of ALU and Cache Memory for an 8 bit ALU Clemson University TigerPrints All Theses Theses 12-2007 Design of ALU and Cache Memory for an 8 bit ALU Pravin chander Chandran Clemson University, pravinc@clemson.edu Follow this and additional works

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Olivier Sentieys. IRISA/INRIA Cairn team. Power Consumption in Silicon Chips. Chips, logic gates and transistors.

Olivier Sentieys. IRISA/INRIA Cairn team. Power Consumption in Silicon Chips. Chips, logic gates and transistors. Olivier entieys II/INI Cairn team University of ennes olivier.sentieys@inria.fr ower Consumption in ilicon Chips Chips, logic gates and transistors Ci Intel s Xeon Chip i = i.fi.ci.vdd + Ileaki.Vdd = X

More information

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES PSowmya #1, Pia Sarah George #2, Samyuktha T #3, Nikita Grover #4, Mrs Manurathi *1 # BTech,Electronics and Communication,Karunya

More information

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012 Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Propagation Delay, Circuit Timing & Adder Design

Propagation Delay, Circuit Timing & Adder Design Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

A Novel Design of High-Speed Carry Skip Adder Operating Under a Wide Range of Supply Voltages

A Novel Design of High-Speed Carry Skip Adder Operating Under a Wide Range of Supply Voltages A Novel Design of High-Speed Carry Skip Adder Operating Under a Wide Range of Supply Voltages Jalluri srinivisu,(m.tech),email Id: jsvasu494@gmail.com Ch.Prabhakar,M.tech,Assoc.Prof,Email Id: skytechsolutions2015@gmail.com

More information

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Digital Computer Arithmetic ECE 666

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Digital Computer Arithmetic ECE 666 UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering Digital Computer Arithmetic ECE 666 Part 5b Fast Addition - II Israel Koren ECE666/Koren Part.5b.1 Carry-Look-Ahead Addition Revisited

More information

Area Delay Efficient Novel Adder By QCA Technology

Area Delay Efficient Novel Adder By QCA Technology Area Delay Efficient Novel Adder By QCA Technology 1 Mohammad Mahad, 2 Manisha Waje 1 Research Student, Department of ETC, G.H.Raisoni College of Engineering, Pune, India 2 Assistant Professor, Department

More information

Asynchronous Gate-Diffusion-Input (GDI) Circuits

Asynchronous Gate-Diffusion-Input (GDI) Circuits synchronous Gate-Diffusion-Input () ircuits rkadiy Morgenshtein, Michael Moreinis and Ran Ginosar Electrical Engineering Department, Technion Israel Institute of Technology, Haifa 32, Israel [ran@ee.technion.ac.il]

More information

Design and Analysis of Row Bypass Multiplier using various logic Full Adders

Design and Analysis of Row Bypass Multiplier using various logic Full Adders Design and Analysis of Row Bypass Multiplier using various logic Full Adders Dr.R.Naveen 1, S.A.Sivakumar 2, K.U.Abhinaya 3, N.Akilandeeswari 4, S.Anushya 5, M.A.Asuvanti 6 1 Associate Professor, 2 Assistant

More information

Keywords: VLSI; CMOS; Pass Transistor Logic (PTL); Gate Diffusion Input (GDI); Parellel In Parellel Out (PIPO); RAM. I.

Keywords: VLSI; CMOS; Pass Transistor Logic (PTL); Gate Diffusion Input (GDI); Parellel In Parellel Out (PIPO); RAM. I. Comparison and analysis of sequential circuits using different logic styles Shofia Ram 1, Rooha Razmid Ahamed 2 1 M. Tech. Student, Dept of ECE, Rajagiri School of Engg and Technology, Cochin, Kerala 2

More information

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

A Novel Approach for High Speed and Low Power 4-Bit Multiplier IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 3 (Nov. - Dec. 2012), PP 13-26 A Novel Approach for High Speed and Low Power 4-Bit Multiplier

More information

Technical Paper. Samuel Naffziger. Hewlett-Packard Co., Fort Collins, CO

Technical Paper. Samuel Naffziger. Hewlett-Packard Co., Fort Collins, CO Technical Paper A Sub-Nanosecond 0.5µm 64b Adder Design Hewlett-Packard Co., Fort Collins, CO A sub-nanosecond 64b adder in 0.5µm CMOS forms the basis for the integer and floating point execution units.

More information

Design and Analysis of CMOS based Low Power Carry Select Full Adder

Design and Analysis of CMOS based Low Power Carry Select Full Adder Design and Analysis of CMOS based Low Power Carry Select Full Adder Mayank Sharma 1, Himanshu Prakash Rajput 2 1 Department of Electronics & Communication Engineering Hindustan College of Science & Technology,

More information

AREA AND POWER EFFICIENT CARRY SELECT ADDER USING BRENT KUNG ARCHITECTURE

AREA AND POWER EFFICIENT CARRY SELECT ADDER USING BRENT KUNG ARCHITECTURE AREA AND POWER EFFICIENT CARRY SELECT ADDER USING BRENT KUNG ARCHITECTURE S.Durgadevi 1, Dr.S.Anbukarupusamy 2, Dr.N.Nandagopal 3 Department of Electronics and Communication Engineering Excel Engineering

More information

E2.11/ISE2.22 Digital Electronics II

E2.11/ISE2.22 Digital Electronics II E2.11/ISE2.22 Digital Electronics II roblem Sheet 6 (uestion ratings: A=Easy,, E=Hard. All students should do questions rated A, B or C as a minimum) 1B+ A full-adder is a symmetric function of its inputs

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

A Multiplexer-Based Digital Passive Linear Counter (PLINCO)

A Multiplexer-Based Digital Passive Linear Counter (PLINCO) A Multiplexer-Based Digital Passive Linear Counter (PLINCO) Skyler Weaver, Benjamin Hershberg, Pavan Kumar Hanumolu, and Un-Ku Moon School of EECS, Oregon State University, 48 Kelley Engineering Center,

More information

Design of 32-bit Carry Select Adder with Reduced Area

Design of 32-bit Carry Select Adder with Reduced Area Design of 32-bit Carry Select Adder with Reduced Area Yamini Devi Ykuntam M.V.Nageswara Rao G.R.Locharla ABSTRACT Addition is the heart of arithmetic unit and the arithmetic unit is often the work horse

More information