Power Supply Noise Induced Jitter Estimation in High Speed Clock Tree for Full Chip Timing Analysis

Size: px
Start display at page:

Download "Power Supply Noise Induced Jitter Estimation in High Speed Clock Tree for Full Chip Timing Analysis"

Transcription

1 DesignCon 2013 Power Supply Noise Induced Jitter Estimation in High Speed Clock Tree for Full Chip Timing Analysis Wen Yin, IBM Zegui Pang, IBM Wei Liu, IBM Tonghao Ding, IBM Erik Breiland, IBM

2 Abstract As semiconductor technology advances, low supply voltage and high performance requirements make the clock jitter more critical to the integrated circuit (IC) design. In this paper, a 32nm test vehicle is built to characterize and analyze on-chip power supply noise. Clock jitter sensitivity to noise frequency and amplitude is investigated. By studying noise characteristics and jitter sensitivity to noise, a cell based jitter model generation method is introduced. This enables clock tree jitter calculation and flexible what if analysis with reduced run time, which is the key for STA early on in the design phase. The estimation result on a real design is studied and shows good correlation to conventional jitter analysis method. Author(s) Biography Wen Yin is technical leader of packaging engineering solutions and signal integrity analysis from ASIC design center at IBM China Corporation. His current focus includes 3DIC solutions, packaging engineering, and power integrity/signal integrity in ASICs used in high speed network systems. He received BS degree in electronics engineering from Huazhong Science and Technology University, and MS degree in Microelectronics from Shanghai Jiao Tong University. Ze Gui Pang is hardware engineer from IBM China ASIC design center. He focus on package design and power noise analysis, supporting high speed ASIC package solutions, design automation, on-chip power noise analysis and high speed SerDes interface modeling. He received BS and MS degree of microelectronics from Xi Dian University. Wei Liu is hardware engineer from IBM China. She focus on package design, power integrity/signal integrity in ASICs used in high speed network system, supporting design automation, on-chip power noise analysis. She received BS and MS degree of microelectronics from Fu Dan University. Tong Hao Ding is staff hardware engineer from IBM China ASIC design center. He focus on package design, power integrity/signal integrity in high speed circuit system design. He received the B.S. degree in electrical engineering from Xidian University, China, in 2007, and Ph.D degree in electrical engineering from Xidian University, China, in Erik Breiland has been an application engineer from IBM Burlington for the last 7 years of his 14 years with IBM. Prior work included noise tool development, HSS package design, and SSO model to hardware correlation. His current focus is on-chip power integrity, I/O noise analysis, and resonance analysis of customer ASIC Designs. He is also helping develop the noise tool automation and support of current tools and methodology. He received his BS in Electrical Engineering from the Rensselaer Polytechnic Institute in 1998, and an MS in Electrical Engineering in 2005 from the University of Vermont.

3 Introduction As semiconductor technology advances and IC frequency goes higher with lower voltage, clock period jitter acts more and more important role in chip design. Jitter directly reduces timing window between capture and launch sequential elements [1]. Conventional jitter analysis method to estimate clock tree jitter is shown in Figure 1. Figure 1. Conventional jitter analysis method The HSPICE netlist of the clock path is built with cell spice model and extracted interconnect parasitic RC model. The power supply with transient noise for each cell is extracted from whole chip power supply noise analysis tool. Piece Wise Linear (PWL) waveform from analysis tool for each cell is set to cell supply pin (Vdd 0, Vdd 1... Vdd n ) to enable HSPICE simulation. Jitter is measured at the output of the clock sink. This method deployment is limited by the simulation run time, which is up to weeks for a typical clock tree. Therefore this method can be used for a few of specific critical clock path analysis but not suitable to perform chip scale analysis, and it is not able to meet fast design turnaround time and quick what if analysis. In this paper, a fast jitter estimation method is proposed. This method can be run early in the chip s floorplan stage when clock buffers are placed. The estimation results can be back annotated to Static Timing Analysis (STA) tool, in order to assess full chip timing degradation due to clock jitter at early design phase. Corrective actions can be taken to mitigate clock jitter according to jitter analysis. It helps avoid no-timing-window issue in later design. In this method, the key is cell based jitter model generation for clock tree jitter calculation. It is based upon understanding of on-chip power supply noise characteristics, and clock buffer cell jitter sensitivity to noise frequency and amplitude. Runtime is significantly reduced by using the fast jitter estimation method and analysis results accuracy is acceptable because experimental run shows good correlation to conventional jitter analysis method.

4 Understanding On-Chip Power Supply Noise Figure 2 shows a typical power supply noise measured at the circuit point of a 32nm test chip, running continuous switching test pattern after certain delay from the beginning. Figure 2. At-circuit power supply characteristics In Figure 2, at-circuit power supply experiences abrupt drop, followed by voltage bounce due to off-chip recharge and finally settle down with periodic variation riding on a stable voltage. Step response occurs when chip power demand changes abruptly and the inductance of Power Distribution Network (PDN) limits current delivery from power supply. The minimum voltage of step response is determined by the characteristics of PDN and the time and magnitude of power change. The damped oscillation of step response indicates how PDN response to a power demand change which also reveals the characteristic of the PDN such as resonant frequency, which is typically tens to hundreds MHz level. Steady-State AC response is induced by high frequency switching of on chip circuits and primarily a function of chip quiet capacitance and the switch current drained by these circuits [2]. Static IR drop is the average voltage after power supply reaches steady state. It is a function of average power and resistance of PDN. Power supply noise can be thought of as the summation of multiple sinusoids with decaying amplitudes. When step response dies out, the resonant frequency dominates and the supply voltage distribution approaches that of a sinusoid [3]. For very large scale chip in 32nm technology or beyond, the internal circuit is switching at a much higher frequency than the resonance of the PDN. Power supply could not response quickly enough to mitigate the voltage drop, and the transient noise due to PDN resonance acts like DC supply for circuits switching at high frequency. The circuit delay varies to the supply voltage, which induces timing uncertainty. The growth of chip integration and complexity drives the increase of the die size. The clock tree spreads out, suffering more power supply noise from each noise aggressor in different areas. As shown in Figure 3, the power supply noise distribution across the chip has different power supply noise magnitude due to specific floorplan density and circuits current demand. This non uniform noise distribution causes more complexity in predicting the clock jitter [4-6].

5 Figure 3. Power supply noise distribution Jitter Sensitivity to Noise Frequency Based on the period jitter definition [7], the square of the root mean square (RMS) period jitter can be derived as J E R R (1) 2 RMS n n R is autocorrelation function of the phase where n is phase jitter of the nth period; noise n with time interval. The autocorrelation function represent with power spectral density S j as So the square of the RMS period jitter could be obtained as J R could also be 1 j RJ SJ e d 2 (2) J 0 J 2 R 0 R 4 S f sin ft df (3) 2 2 RMS 0 where f is power/ground noise frequency, T 0 is input signal period. It is easily found that the period jitter would be minimum value, when f = n / T 0, and the period jitter would be maximum value, when f =(n+1/2)/ T 0. The relation could be represent as 1 t max, Fnoise n Finput 2 (4) t min, F n F, n 0,1,2... noise where Fnoise is power/ground noise frequency, F input is input signal frequency. The cycle to cycle jitter could also be calculated as input

6 2 JC CRMS 6R 0 8R 2R 2 (5) 2 4 C CRMS 16 sin 0 J 0 (6) J S f ft df It is easily found that the equation (4) could also be applied to the cycle to cycle jitter. It is evidently found that the peak to peak jitter also satisfy equation (4). Figure 4 shows a test circuit including an inverted buffer with input data and power supply noise. This test circuit is built to analyze how noise frequency and signal frequency affect jitter. Vdd Figure 4. Test circuit for jitter and signal-noise frequency relation analysis The input data is modeled with a pulse source. The frequencies are 400MHz, 500MHz and 800MHz respectively, and signal rise time/fall time is 60ps.The power noise is modeled as a single-tone sinusoidal signal, and the noise frequency is a variable changing from 10MHz to 1GHz with 10MHz step. RMS and peak to peak jitter results are plotted in Figure 5. Figure 5. Jitter versus noise frequency plots at different signal frequencies When noise frequency is integral multiple of signal frequency, the smallest jitter values are obtained, when noise frequency is equal to (n+1/2) of signal frequency, the largest jitter values are obtained. It is found that the simulated results are consistent with the equation (4). Generally, one power supply is dominated by one single resonance in chip/package level, and the signal frequency can be known in initial design stage for a given design. Therefore, relation of noise and signal frequency effect on jitter is determined in this design.

7 Jitter Sensitivity to Noise Amplitude In Figure 6 [8], the signal amplitude with noise injection is V, which can be calculated in equation (7), where noise amplitude is V and ideal signal amplitude is V 0. V V V (7) 0 Slope Amplitude(V) k t V Time Figure 6. Relation of jitter and noise amplitude The jitter could be estimated based on the linear relation between noise and signal dv0 amplitude as shown in equation (8). With k, the jitter could be obtained as dt dv dt 0 t V / V / k It is evidently found that the jitter is increasing with the larger noise amplitude. So the jitter could be reduced by suppressing noise. In [9], there is detailed introduction of frequency domain jitter spectrum analysis. In this paper, time domain SPICE simulation experiments are performed to investigate single clock buffer jitter sensitivity to power supply noise. As shown in Figure 7, one stage clock tree HSPICE deck with the C load and supply source is built to analyze the effect of the capacitance and noise on jitter. The input data is modeled as a pulse source with 800MHz frequency and 60ps rise/fall time. The noise frequency is 100MHz and the noise magnitudes are changed from 0.02v to 0.1v with 20mv step. Vdd out (8) Figure 7. Simulation deck of one stage buffer with capacitance load In Figure 8, both RMS and peak to peak jitter results are plotted. It is found that the jitter results become larger with the increasing load capacitance and noise magnitude. Various types of clock buffers are investigated by HSPICE simulation experiments and good

8 linear correlation can be found between buffer output jitter and power supply noise amplitude. Load(pF) Load(pF) (a) (b) Figure 8. Jitter induced by power supply noise with different noise amplitude and load As shown in Figure 9, buffer chain with capacitance load is extracted from actual chip design. HSPICE netlist is built to analyze the effect of the stage number on jitter. The interconnect load is modeled as capacitance and the value is 0.02pF. The input data is modeled with a pulse source with 800MHz frequency and 60ps rise time/fall time. The noise frequency is 100MHz and magnitudes are swept from 0.02v to 0.1v. Vdd Vdd Vdd Vdd Vdd Figure 9. Multiple stages buffers with extracted C load In Figure 10, both RMS and peak to peak jitter results are given. It is found that the jitter is linearly rising with the increasing stages and noise magnitude. (a) (b) Figure 10. Jitter results induced by power supply noise with several stage cells

9 Jitter Calculation and Jitter Model Generation In [10], jitter is accumulated through circuits. For clock tree, jitter is propagated and accumulated from source pin to sink through each element in clock tree path. With predefined cell based jitter model, the jitter calculation is simplified. In Figure 11, given a clock tree, each stage of clock buffer can be traced and divided into segments. Total jitter is accumulated through the segments. Each segment is composed of clock buffer, and output interconnect RC load. Jitter generated from each segment with respect to power supply noise is obtained from pre-characterized cell based jitter model. In this model, the output signal jitter induced by power supply noise can be looked up, interpolated or extrapolated. Figure 11. Proposed jitter analysis methodology By studying the relation between jitter and power supply noise, there are 2 ways to generate the models: First one is from SPICE simulation. The SPICE netlist is based on buffer HSPICE model and interconnect RC load. Power supply noise can be simplified as sinusoid at resonant frequency, and input data is modeled as pulse source with a signal frequency. A look-up table format cell based jitter model can be established according to simulation results. Jitter value can be picked up, interpolated or extrapolated from the model with input noise amplitude, signal frequency and load information. This method is comprehensive and accurate because it honors jitter sensitivity to noise amplitude and frequency. The disadvantage is relative long runtime comparing to second jitter model generation method.

10 Second method uses timing.lib rules. Timing.lib rules include cell delay information with respect to its input signal slew, output load and supply voltage. Worst case peak to peak jitter can be derived by using.lib rules with DC approximation of supply noise. If the clock frequency is not multiple of the power supply resonant frequency, the clock edges will effectively sweep the power supply noise signature over an extended period of time and will probably experience voltage minima and maxima during the majority of the time. Thus, if oscillation period of power supply is much greater than clock path propagation delay (t pd ), the power supply approximately acts as DC during this propagation delay time interval, as it is shown in Figure 12. First Stage t pd Last Stage Figure 12. Power supply noise DC approximation Power supply resonant frequency is typically below 100MHz which is function of image/package inductance and capacitance, and current chip frequency is much higher than resonance. Therefore, using power supply noise DC approximation, worst-case jitter estimation can be simply done by calculating the desired path at the maximum and minimum observable power supply voltages, yielding the fastest and slowest achievable delays respectively. The difference between these two values gives the total estimated peak-to-peak jitter.

11 Correlation of Proposed Jitter Estimation and SPICE Simulation Jitter estimation of clock trees from real design is performed using proposed method, and comparison to conventional SPICE simulation is analyzed. In this experiment, signal frequency is 800MHz, and rise time/fall time is 60ps. Figure 13 shows thermal contour plot of different noise amplitudes. Two clock trees are selected to be analyzed. Both clock tree 1 and 2 have 6 stages of clock buffers. Clock tree1 Domain2 Domain3 Domain1 Clock tree2 Figure 13. Clock trees locations diagram As shown in Figure 14, clock 1 travels through the area with same color that represents one noise domain with the same noise amplitude 40mv. Domain1 Domain2 1 RC 2 RC 3 RC 4 RC 5 RC 6 RC Figure 14. Clock tree 1 in single noise domain In clock tree 1 analysis, the RMS and peak to peak jitter are 18.8ps and 68.4ps respectively while conventional jitter analysis results in 22.7ps and 67.5ps. It shows good correlation. As shown in Figure 15, clock 2 buffers locate in different noise domains. In clock tree 2 analysis, all simulation parameters are the same as previous analysis, except noise magnitudes. The noise amplitudes in domain 1, 2, 3 are 20mv, 40mv and 80mv respectively. Domain1 Domain2 Domain3 1 RC 2 RC 3 RC 4 RC 5 RC 6 RC Figure 15. Clock tree 2 in different noise domains

12 The RMS and peak to peak jitter are 21.0ps and 81.2ps respectively by proposed method comparing to conventional jitter analysis, which results in 24.3ps and 70.4ps. It also shows consistency. Conclusion This paper introduced a novel method for clock jitter estimation, which can be used for full chip timing analysis at early chip design stage. A cell based jitter model is introduced, which is a look-up table format for jitter estimation. Result from experiment on a real design shows good correlation to conventional SPICE simulation, which proves acceptable accuracy for jitter analysis by proposed method. With proposed methodology, flexible what if analysis with reduced run time is available for STA early on in the design phase. The estimation result can be used to guide a designer to optimize types and levels of clock buffer, refine wiring and decoupling strategy, etc. The improvements will ultimately benefit final chip timing closure. In the future, more investigations on cell based jitter model generation method using noise DC approximation and.lib rules are needed. This method enables fast jitter estimation but it does not consider jitter sensitivity to noise frequency. More theoretical analysis and test cases are required to enhance this method. Acknowledgement The authors would like to thank Carlos Dorta for his jitter analysis stuffs in his internship at IBM corporation. The authors would also like to thank Edward Sayre, Margaret Charlebois, Charles Chiu and Rui Zhang for many helpful insights, thank Mark Willette for hardware measurement support and also Neo Li for his management vision. References [1] J. Jang, O. Franza, and W. Burleson, Period Jitter Estimation in Global Clock Trees SPI [2]S.Sun, L.Smith, P.Boyle, On-Chip PDN Noise Characterization and Modeling, DesignCon [3] T. H. Ding and Y. S. Li, Efficient method for modeling of SSN using time-domain impedance function and noise suppression analysis, IEEE Transactions on Components, Packaging and Manufacturing Technology, 2012, 2(3): [4] I. Zamek, M. O. Wong, P. Boyle, N. Daud, L. N. Soh, H. L. Teng and C. S. Fong, A Study of Jitter Effects in nm-fpga based on Various Physical and Electrical Quantities, Asia pacific conference on applied electromagnetics proceedings. [5] D. A. Howe and T. N. Tasset, Clock Jitter Estimation based on PM Noise Measurements, Proceedings of the 2003 IEEE International Frequency Control Symposium and PDA Exhibition Jointly with the 17th European Frequency and Time Forum. [6] M.Saint-Laurent and M.Swaminathan, Impact of power-supply noise on timing in high-frequency microprocessors, IEEE Transactions on Advanced Packaging, Vol.27, Feb [7] I. Zamek, Jitter Spectral Theory, DesignCon [8] Mike Li Peng, Jitter, Noise, and Signal Integrity at High-Speed, Prentice Hall PTR, 2007.

13 [9] H.Lan, R.Schmitt, C.Yuan, Prediction and Measurement of Supply Noise induced Jitter in High-Speed I/O Interfaces, DesignCon [10] A.Hajimiri, S. Limotyrakis, and T. H. Lee Jitter and phase noise in ring oscillators, IEEE Journal of Solid-State Circuits, Vol.34, 1999

Effect of Aging on Power Integrity of Digital Integrated Circuits

Effect of Aging on Power Integrity of Digital Integrated Circuits Effect of Aging on Power Integrity of Digital Integrated Circuits A. Boyer, S. Ben Dhia Alexandre.boyer@laas.fr Sonia.bendhia@laas.fr 1 May 14 th, 2013 Introduction and context Long time operation Harsh

More information

DesignCon FPGA I/O Timing Variations Due to Simultaneous Switching Outputs. Zhe Li, Altera Corporation

DesignCon FPGA I/O Timing Variations Due to Simultaneous Switching Outputs. Zhe Li, Altera Corporation DesignCon 2008 FPGA I/O Timing Variations Due to Simultaneous Switching Outputs Zhe Li, Altera Corporation ZLI@altera.com, 408-544-7762 Iliya Zamek, Altera Corporation izamek@altera.com, 408-544-8116 Peter

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design DesignCon 2009 Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design Hsing-Chou Hsu, VIA Technologies jimmyhsu@via.com.tw Jack Lin, Sigrity Inc.

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi High Speed Design Issues and Jitter Estimation Techniques Jai Narayan Tripathi (jainarayan.tripathi@st.com) Outline Part 1 High-speed Design Issues Signal Integrity Power Integrity Jitter Power Delivery

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Wideband On-die Power Supply Decoupling in High Performance DRAM

Wideband On-die Power Supply Decoupling in High Performance DRAM Wideband On-die Power Supply Decoupling in High Performance DRAM Timothy M. Hollis, Senior Member of the Technical Staff Abstract: An on-die decoupling scheme, enabled by memory array cell technology,

More information

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces DesignCon 2010 On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces Ralf Schmitt, Rambus Inc. [Email: rschmitt@rambus.com] Hai Lan, Rambus Inc. Ling Yang, Rambus Inc. Abstract

More information

Optimizing On Die Decap in a System at Early Stage of Design Cycle

Optimizing On Die Decap in a System at Early Stage of Design Cycle Optimizing On Die Decap in a System at Early Stage of Design Cycle Naresh Dhamija Pramod Parameswaran Sarika Jain Makeshwar Kothandaraman Praveen Soora Disclaimer: The scope of approach presented is limited

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

Development and Validation of a Microcontroller Model for EMC

Development and Validation of a Microcontroller Model for EMC Development and Validation of a Microcontroller Model for EMC Shaohua Li (1), Hemant Bishnoi (1), Jason Whiles (2), Pius Ng (3), Haixiao Weng (2), David Pommerenke (1), and Daryl Beetner (1) (1) EMC lab,

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing

System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing Larry Smith, Shishuang Sun, Peter Boyle, Bozidar Krsnik Altera Corp. Abstract-Power

More information

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Authors: Rick Brooks, Cisco, ricbrook@cisco.com Jane Lim, Cisco, honglim@cisco.com Udupi Harisharan, Cisco,

More information

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise?

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise? Power Supply Networs: Analysis and Synthesis What is Power Supply Noise? Problem: Degraded voltage level at the delivery point of the power/ground grid causes performance and/or functional failure Lower

More information

An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation

An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation Also presented at the January 31, 2005 IBIS Summit SIGRITY, INC. Sam Chitwood Raymond Y. Chen Jiayuan Fang March 2005

More information

Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC

Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC DesignCon 2017 Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC Kwangseok Choi, Samsung Electronics Inc. [aquarian505@gmail.com] Byunghyun Lee, Samsung Electronics Inc.

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

EMI Reduction on an Automotive Microcontroller

EMI Reduction on an Automotive Microcontroller EMI Reduction on an Automotive Microcontroller Design Automation Conference, July 26 th -31 st, 2009 Patrice JOUBERT DORIOL 1, Yamarita VILLAVICENCIO 2, Cristiano FORZAN 1, Mario ROTIGNI 1, Giovanni GRAZIOSI

More information

Modeling FPGA Current Waveform and Spectrum and PDN Noise Estimation

Modeling FPGA Current Waveform and Spectrum and PDN Noise Estimation DesignCon 2008 Modeling FPGA Current Waveform and Spectrum and PDN Noise Estimation Iliya Zamek, Altera Corporation izamek@altera.com, 408-544-8116 Peter Boyle, Altera Corporation pboyle@altera.com, 408-544-6939

More information

Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li

Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li Design Service Division, GLOBAL UNICHIP CORP., Taiwan, ROC Xiaopeng

More information

TITLE. Capturing (LP)DDR4 Interface PSIJ and RJ Performance. Image. Topic: Topic: John Ellis, Synopsys, Inc. Topic: malesuada blandit euismod.

TITLE. Capturing (LP)DDR4 Interface PSIJ and RJ Performance. Image. Topic: Topic: John Ellis, Synopsys, Inc. Topic: malesuada blandit euismod. TITLE Topic: o Nam elementum commodo mattis. Pellentesque Capturing (LP)DDR4 Interface PSIJ and RJ Performance malesuada blandit euismod. Topic: John Ellis, Synopsys, Inc. o o Nam elementum commodo mattis.

More information

Interconnected Tile Standing Wave Resonant Oscillator based Clock Distribution Circuits

Interconnected Tile Standing Wave Resonant Oscillator based Clock Distribution Circuits 2 24th Annual Conference on VSI Design Interconnected Tile Standing Wave Resonant Oscillator based Clock Distribution Circuits Ayan Mandal, Vinay Karkala, Sunil P Khatri and Rabi N Mahapatra Department

More information

A Simulation Study of Simultaneous Switching Noise

A Simulation Study of Simultaneous Switching Noise A Simulation Study of Simultaneous Switching Noise Chi-Te Chen 1, Jin Zhao 2, Qinglun Chen 1 1 Intel Corporation Network Communication Group, LOC4/19, 9750 Goethe Road, Sacramento, CA 95827 Tel: 916-854-1178,

More information

An alternative approach to model the Internal Activity of integrated circuits.

An alternative approach to model the Internal Activity of integrated circuits. An alternative approach to model the Internal Activity of integrated circuits. N. Berbel, R. Fernández-García, I. Gil Departament d Enginyeria Electrònica UPC Barcelona Tech Terrassa, SPAIN nestor.berbel-artal@upc.edu

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 9: Noise Sources Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 5 Report and Prelab 6 due Apr. 3 Stateye

More information

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Youngwon Kim, Chunghyun Ryu, Jongbae Park, and Joungho Kim Terahertz Interconnection and Package Laboratory,

More information

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL POWER INTEGRITY ANALYSIS AND MANAGEMENT I CIRCUITS Raj Nair Donald Bennett PRENTICE HALL Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown

More information

Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery

Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery Amit K. Jain, Sameer Shekhar, Yan Z. Li Client Computing Group, Intel Corporation

More information

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Mikhail Popovich and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester, Rochester,

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

Decoupling Capacitance

Decoupling Capacitance Decoupling Capacitance Nitin Bhardwaj ECE492 Department of Electrical and Computer Engineering Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

Worst Case RLC Noise with Timing Window Constraints

Worst Case RLC Noise with Timing Window Constraints Worst Case RLC Noise with Timing Window Constraints Jun Chen Electrical Engineering Department University of California, Los Angeles jchen@ee.ucla.edu Lei He Electrical Engineering Department University

More information

DDR4 memory interface: Solving PCB design challenges

DDR4 memory interface: Solving PCB design challenges DDR4 memory interface: Solving PCB design challenges Chang Fei Yee - July 23, 2014 Introduction DDR SDRAM technology has reached its 4th generation. The DDR4 SDRAM interface achieves a maximum data rate

More information

SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT. Hagay Guterman, CSR Jerome Toublanc, Ansys

SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT. Hagay Guterman, CSR Jerome Toublanc, Ansys SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT Hagay Guterman, CSR Jerome Toublanc, Ansys Speakers Hagay Guterman, CSR Hagay Guterman is a senior signal and power integrity

More information

Impact of On-Chip Multi-Layered Inductor on Signal and Power Integrity of Underlying Power-Ground Net

Impact of On-Chip Multi-Layered Inductor on Signal and Power Integrity of Underlying Power-Ground Net 22 nd IEEE Workshop on Signal and Power Integrity, Brest, FRANCE May 25, 2018 Impact of On-Chip Multi-Layered Inductor on Signal and Power Integrity of Underlying Power-Ground Net Akira Tsuchicya 1, Akitaka

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

EE 233 Circuit Theory Lab 2: Amplifiers

EE 233 Circuit Theory Lab 2: Amplifiers EE 233 Circuit Theory Lab 2: Amplifiers Table of Contents 1 Introduction... 1 2 Precautions... 1 3 Prelab Exercises... 2 3.1 LM348N Op-amp Parameters... 2 3.2 Voltage Follower Circuit Analysis... 2 3.2.1

More information

VLSI is scaling faster than number of interface pins

VLSI is scaling faster than number of interface pins High Speed Digital Signals Why Study High Speed Digital Signals Speeds of processors and signaling Doubled with last few years Already at 1-3 GHz microprocessors Early stages of terahertz Higher speeds

More information

THE GROWTH of the portable electronics industry has

THE GROWTH of the portable electronics industry has IEEE POWER ELECTRONICS LETTERS 1 A Constant-Frequency Method for Improving Light-Load Efficiency in Synchronous Buck Converters Michael D. Mulligan, Bill Broach, and Thomas H. Lee Abstract The low-voltage

More information

Appendix. RF Transient Simulator. Page 1

Appendix. RF Transient Simulator. Page 1 Appendix RF Transient Simulator Page 1 RF Transient/Convolution Simulation This simulator can be used to solve problems associated with circuit simulation, when the signal and waveforms involved are modulated

More information

Characterization Methodology for High Density Microwave Fixtures. Dr. Brock J. LaMeres, Montana State University

Characterization Methodology for High Density Microwave Fixtures. Dr. Brock J. LaMeres, Montana State University DesignCon 2008 Characterization Methodology for High Density Microwave Fixtures Dr. Brock J. LaMeres, Montana State University lameres@ece.montana.edu Brent Holcombe, Probing Technology, Inc brent.holcombe@probingtechnology.com

More information

MDLL & Slave Delay Line performance analysis using novel delay modeling

MDLL & Slave Delay Line performance analysis using novel delay modeling MDLL & Slave Delay Line performance analysis using novel delay modeling Abhijith Kashyap, Avinash S and Kalpesh Shah Backplane IP division, Texas Instruments, Bangalore, India E-mail : abhijith.r.kashyap@ti.com

More information

CORRELATION OF PDN IMPEDANCE WITH JITTER AND VOLTAGE MARGIN IN HIGH SPEED CHANNELS

CORRELATION OF PDN IMPEDANCE WITH JITTER AND VOLTAGE MARGIN IN HIGH SPEED CHANNELS CORRELATION OF PDN IMPEDANCE WITH JITTER AND VOLTAGE MARGIN IN HIGH SPEED CHANNELS A Thesis Presented to The Academic Faculty By Vishal Laddha In Partial Fulfillment of the Requirements for the Degree

More information

Characterizing High-Speed Oscilloscope Distortion A comparison of Agilent and Tektronix high-speed, real-time oscilloscopes

Characterizing High-Speed Oscilloscope Distortion A comparison of Agilent and Tektronix high-speed, real-time oscilloscopes Characterizing High-Speed Oscilloscope Distortion A comparison of Agilent and Tektronix high-speed, real-time oscilloscopes Application Note 1493 Table of Contents Introduction........................

More information

Prediction and Measurement of Supply Noise Induced Jitter in High-Speed I/O Interfaces

Prediction and Measurement of Supply Noise Induced Jitter in High-Speed I/O Interfaces DesignCon 2009 Prediction and Measurement of Supply Noise Induced Jitter in High-Speed I/O Interfaces Hai Lan, Rambus Inc. e-mail: hlan@rambus.com Ralf Schmitt, Rambus Inc. Chuck Yuan, Rambus Inc. Abstract

More information

Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads

Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads 006 IEEE COMPEL Workshop, Rensselaer Polytechnic Institute, Troy, NY, USA, July 6-9, 006 Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads Nabeel

More information

ULTRASCALE DDR4 DE-EMPHASIS AND CTLE FEATURE OPTIMIZATION WITH STATISTICAL ENGINE FOR BER SPECIFICATION

ULTRASCALE DDR4 DE-EMPHASIS AND CTLE FEATURE OPTIMIZATION WITH STATISTICAL ENGINE FOR BER SPECIFICATION ULTRASCALE DDR4 DE-EMPHASIS AND CTLE FEATURE OPTIMIZATION WITH STATISTICAL ENGINE FOR BER SPECIFICATION Penglin Niu, penglin@xilinx.com Fangyi Rao, fangyi_rao@keysight.com Juan Wang, juanw@xilinx.com Gary

More information

PDS Impact for DDR Low Cost Design

PDS Impact for DDR Low Cost Design PDS Impact for DDR3-1600 Low Cost Design Jack W.C. Lin Sr. AE Manager jackl@cadence.com Aug. g 13 2013 Cadence, OrCAD, Allegro, Sigrity and the Cadence logo are trademarks of Cadence Design Systems, Inc.

More information

Modeling System Signal Integrity Uncertainty Considerations

Modeling System Signal Integrity Uncertainty Considerations white paper Intel FPGA Modeling System Signal Integrity Uncertainty Considerations Authors Ravindra Gali High-Speed I/O Applications Engineering, Intel Corporation Zhi Wong High-Speed I/O Applications

More information

Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis

Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis Micro Chang htc Michael_Chang@hTC.com Jan 9, 2019 X 1 Agenda Jitter-aware target impedance of power delivery network

More information

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Amber Path FX is a trusted analysis solution for designers trying to close on power, performance, yield and area in 40 nanometer processes

More information

Target Impedance and Rogue Waves

Target Impedance and Rogue Waves TITLE Target Impedance and Rogue Waves Larry Smith (Qualcomm) Image Target Impedance and Rogue Waves Larry Smith (Qualcomm) Larry Smith Principal Power Integrity Engineer, Qualcomm Larrys@qti.qualcomm.com

More information

Bridging the Measurement and Simulation Gap Sarah Boen Marketing Manager Tektronix

Bridging the Measurement and Simulation Gap Sarah Boen Marketing Manager Tektronix Bridging the Measurement and Simulation Gap Sarah Boen Marketing Manager Tektronix 1 Agenda Synergy between simulation and lab based measurements IBIS-AMI overview Simulation and measurement correlation

More information

CROSS-COUPLING capacitance and inductance have. Performance Optimization of Critical Nets Through Active Shielding

CROSS-COUPLING capacitance and inductance have. Performance Optimization of Critical Nets Through Active Shielding IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 51, NO. 12, DECEMBER 2004 2417 Performance Optimization of Critical Nets Through Active Shielding Himanshu Kaul, Student Member, IEEE,

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks Sanjay Pant, David Blaauw University of Michigan, Ann Arbor, MI Abstract The placement of on-die decoupling

More information

Design and Analysis of a Second Order Phase Locked Loops (PLLs)

Design and Analysis of a Second Order Phase Locked Loops (PLLs) Design and Analysis of a Second Order Phase Locked Loops (PLLs) DIARY R. SULAIMAN Engineering College - Electrical Engineering Department Salahaddin University-Hawler Zanco Street IRAQ Abstract: - This

More information

Electrostatic Test Structures for Transmission Line Pulse and Human Body Model Testing at Wafer Level

Electrostatic Test Structures for Transmission Line Pulse and Human Body Model Testing at Wafer Level Electrostatic Test Structures for Transmission Line Pulse and Human Body Model Testing at Wafer Level Robert Ashton 1, Stephen Fairbanks 2, Adam Bergen 1, Evan Grund 3 1 Minotaur Labs, Mesa, Arizona, USA

More information

Figure 1. Inductance

Figure 1. Inductance Tools for On-Chip Interconnect Inductance Extraction Jerry Tallinger OEA International Inc. 155 East Main Ave., Ste. 110 Morgan Hill, CA 95037 jerry@oea.com Haris Basit OEA International Inc. 155 East

More information

Comparison of IC Conducted Emission Measurement Methods

Comparison of IC Conducted Emission Measurement Methods IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 52, NO. 3, JUNE 2003 839 Comparison of IC Conducted Emission Measurement Methods Franco Fiori, Member, IEEE, and Francesco Musolino, Member, IEEE

More information

DesignCon Design of Gb/s Interconnect for High-bandwidth FPGAs. Sherri Azgomi, Altera Corporation

DesignCon Design of Gb/s Interconnect for High-bandwidth FPGAs. Sherri Azgomi, Altera Corporation DesignCon 2004 Design of 3.125 Gb/s Interconnect for High-bandwidth FPGAs Sherri Azgomi, Altera Corporation sazgomi@altera.com Lawrence Williams, Ph.D., Ansoft Corporation williams@ansoft.com CF-031505-1.0

More information

THE TREND toward implementing systems with low

THE TREND toward implementing systems with low 724 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 7, JULY 1995 Design of a 100-MHz 10-mW 3-V Sample-and-Hold Amplifier in Digital Bipolar Technology Behzad Razavi, Member, IEEE Abstract This paper

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

ONE of the greatest challenges in the design of a

ONE of the greatest challenges in the design of a IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 52, NO. 6, JUNE 2005 1073 Characterizing the Effects of the PLL Jitter Due to Substrate Noise in Discrete-Time Delta-Sigma Modulators Payam

More information

Gate Delay Estimation in STA under Dynamic Power Supply Noise

Gate Delay Estimation in STA under Dynamic Power Supply Noise Gate Delay Estimation in STA under Dynamic Power Supply Noise Takaaki Okumura *, Fumihiro Minami *, Kenji Shimazaki *, Kimihiko Kuwada *, Masanori Hashimoto ** * Development Depatment-, Semiconductor Technology

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

A Co-design Methodology of Signal Integrity and Power Integrity

A Co-design Methodology of Signal Integrity and Power Integrity DesignCon 2006 A Co-design Methodology of Signal Integrity and Power Integrity Woong Hwan Ryu, Intel Corporation woong.hwan.ryu@intel.com Min Wang, Intel Corporation min.wang@intel.com 1 Abstract As PCB

More information

SSO Noise, Eye Margin, and Jitter Characterization for I/O Power Integrity

SSO Noise, Eye Margin, and Jitter Characterization for I/O Power Integrity DESIGNCON 2009 SSO Noise, Eye Margin, and Jitter Characterization for I/O Power Integrity Vishram S. Pandit, Intel Corporation [vishram.s.pandit@intel.com, (916)356-2059] Ashish N. Pardiwala, Intel Corporation

More information

High Speed CMOS Comparator Design with 5mV Resolution

High Speed CMOS Comparator Design with 5mV Resolution High Speed CMOS Comparator Design with 5mV Resolution Raghava Garipelly Assistant Professor, Dept. of ECE, Sree Chaitanya College of Engineering, Karimnagar, A.P, INDIA. Abstract: A high speed CMOS comparator

More information

Sensing Voltage Transients Using Built-in Voltage Sensor

Sensing Voltage Transients Using Built-in Voltage Sensor Sensing Voltage Transients Using Built-in Voltage Sensor ABSTRACT Voltage transient is a kind of voltage fluctuation caused by circuit inductance. If strong enough, voltage transients can cause system

More information

The Role of Voltage Regulation in Power Integrity for Multi-Gbps Parallel I/O Interfaces. Yue Yin

The Role of Voltage Regulation in Power Integrity for Multi-Gbps Parallel I/O Interfaces. Yue Yin The Role of Voltage Regulation in Power Integrity for Multi-Gbps Parallel I/O Interfaces by Yue Yin A thesis submitted in conformity with the requirements for the degree of Master of Applied Science, The

More information

ECE 546 Lecture 20 Power Distribution Networks

ECE 546 Lecture 20 Power Distribution Networks ECE 546 Lecture 20 Power Distribution Networks Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 546 Jose Schutt Aine 1 IC on Package ECE 546

More information

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Transceiver Portfolio Workshops 2009 Question What is Your PDN Design Methodology? Easy Complex Historical Full SPICE simulation

More information

System Co-Design and Co-Analysis Approach to Implementing the XDR Memory System of the Cell Broadband Engine Processor

System Co-Design and Co-Analysis Approach to Implementing the XDR Memory System of the Cell Broadband Engine Processor System Co-Design and Co-Analysis Approach to Implementing the XDR Memory System of the Cell Broadband Engine Processor Realizing 3.2 Gbps Data Rate per Memory Lane in Low Cost, High Volume Production Wai-Yeung

More information

EMI Modeling of a 32-bit Microcontroller in Wait Mode

EMI Modeling of a 32-bit Microcontroller in Wait Mode EMI Modeling of a 32-bit Microcontroller in Wait Mode Jean-Pierre Leca 1,2, Nicolas Froidevaux 1, Henri Braquet 2, Gilles Jacquemod 2 1 STMicroelectronics, 2 LEAT, UMR CNRS-UNS 6071 BMAS 2010 San Jose,

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

Optimization of Digitally Controlled Oscillator with Low Power

Optimization of Digitally Controlled Oscillator with Low Power IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. I (Nov -Dec. 2015), PP 52-57 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Optimization of Digitally Controlled

More information

Design of Resistive-Input Class E Resonant Rectifiers for Variable-Power Operation

Design of Resistive-Input Class E Resonant Rectifiers for Variable-Power Operation 14th IEEE Workshop on Control and Modeling for Power Electronics COMPEL '13), June 2013. Design of Resistive-Input Class E Resonant Rectifiers for Variable-Power Operation Juan A. Santiago-González, Khurram

More information

Guaranteeing Silicon Performance with FPGA Timing Models

Guaranteeing Silicon Performance with FPGA Timing Models white paper Intel FPGA Guaranteeing Silicon Performance with FPGA Timing Models Authors Minh Mac Member of Technical Staff, Technical Services Intel Corporation Chris Wysocki Senior Manager, Software Englineering

More information

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits 1 ECEN 720 High-Speed Links: Circuits and Systems Lab6 Link Modeling with ADS Objective To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed

More information

Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling

Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 10, OCTOBER 2001 1587 Accurate In Situ Measurement of Peak Noise and Delay Change Induced by Interconnect Coupling Takashi Sato, Member, IEEE, Dennis

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

Noise Analysis of Phase Locked Loops

Noise Analysis of Phase Locked Loops Noise Analysis of Phase Locked Loops MUHAMMED A. IBRAHIM JALIL A. HAMADAMIN Electrical Engineering Department Engineering College Salahaddin University -Hawler ERBIL - IRAQ Abstract: - This paper analyzes

More information

/14/$ IEEE 470

/14/$ IEEE 470 Analysis of Power Distribution Network in Glass, Silicon Interposer and PCB Youngwoo Kim, Kiyeong Kim Jonghyun Cho, and Joungho Kim Department of Electrical Engineering, KAIST Daejeon, South Korea youngwoo@kaist.ac.kr

More information

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines December 2007, ver. 1.0 Introduction Application Note 508 Low-cost FPGAs designed on 90-nm and 65-nm process technologies are made to support

More information

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005 Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado 1 Problem Statement Package Interconnect Limits VLSI System Performance The three main components

More information

Multiphase Interleaving Buck Converter With Input-Output Bypass Capacitor

Multiphase Interleaving Buck Converter With Input-Output Bypass Capacitor 2010 Seventh International Conference on Information Technology Multiphase Interleaving Buck Converter With Input-Output Bypass Capacitor Taufik Taufik, Randyco Prasetyo, Arief Hernadi Electrical Engineering

More information

Analysis of Indirect Temperature-Rise Tests of Induction Machines Using Time Stepping Finite Element Method

Analysis of Indirect Temperature-Rise Tests of Induction Machines Using Time Stepping Finite Element Method IEEE TRANSACTIONS ON ENERGY CONVERSION, VOL. 16, NO. 1, MARCH 2001 55 Analysis of Indirect Temperature-Rise Tests of Induction Machines Using Time Stepping Finite Element Method S. L. Ho and W. N. Fu Abstract

More information

DesignCon Control of Electromagnetic Radiation from Integrated Circuit Heat sinks. Cristian Tudor, Fidus Systems Inc.

DesignCon Control of Electromagnetic Radiation from Integrated Circuit Heat sinks. Cristian Tudor, Fidus Systems Inc. DesignCon 2009 Control of Electromagnetic Radiation from Integrated Circuit Heat sinks Cristian Tudor, Fidus Systems Inc. Cristian.Tudor@fidus.ca Syed. A. Bokhari, Fidus Systems Inc. Syed.Bokhari@fidus.ca

More information

Variable-Segment & Variable-Driver Parallel Regeneration Techniques for RLC VLSI Interconnects

Variable-Segment & Variable-Driver Parallel Regeneration Techniques for RLC VLSI Interconnects Variable-Segment & Variable-Driver Parallel Regeneration Techniques for RLC VLSI Interconnects Falah R. Awwad Concordia University ECE Dept., Montreal, Quebec, H3H 1M8 Canada phone: (514) 802-6305 Email:

More information

Impact of Low-Impedance Substrate on Power Supply Integrity

Impact of Low-Impedance Substrate on Power Supply Integrity Impact of Low-Impedance Substrate on Power Supply Integrity Rajendran Panda and Savithri Sundareswaran Motorola, Austin David Blaauw University of Michigan, Ann Arbor Editor s note: Although it is tempting

More information

EFFECT OF INTEGRATION ERROR ON PARTIAL DISCHARGE MEASUREMENTS ON CAST RESIN TRANSFORMERS. C. Ceretta, R. Gobbo, G. Pesavento

EFFECT OF INTEGRATION ERROR ON PARTIAL DISCHARGE MEASUREMENTS ON CAST RESIN TRANSFORMERS. C. Ceretta, R. Gobbo, G. Pesavento Sept. 22-24, 28, Florence, Italy EFFECT OF INTEGRATION ERROR ON PARTIAL DISCHARGE MEASUREMENTS ON CAST RESIN TRANSFORMERS C. Ceretta, R. Gobbo, G. Pesavento Dept. of Electrical Engineering University of

More information

Filters And Waveform Shaping

Filters And Waveform Shaping Physics 3330 Experiment #3 Fall 2001 Purpose Filters And Waveform Shaping The aim of this experiment is to study the frequency filtering properties of passive (R, C, and L) circuits for sine waves, and

More information