Scientific (super)computing in the electronics industry

Size: px
Start display at page:

Download "Scientific (super)computing in the electronics industry"

Transcription

1 Scientific (super)computing in the electronics industry Wil Schilders Centre for Analysis, Scientific Computing and Applications & Platform Wiskunde Nederland SARA Superdag, December 1, 2010

2 Centre for Analysis, Scientific Computing and Applications Combines all activities related to analysis at the Department of Mathematics and Computer Science of Eindhoven University of Technology (TU/e). Major research objective is to develop new and improve existing mathematical (both analytical and numerical) methods for a wide range of applications in science and engineering. Extensive collaboration with researchers in the technical sciences as well as cooperation with industrial partners is vital. Located at CWI (Science Park 123) National organisation founded by Koninklijk Wiskundig Genootschap and Nederlandse Vereniging van Wiskundeleraren Improved advocacy of mathematics Policy determined by Committees on Education, Research, Publicity, Publications and Innovation bureau@platformwiskunde.nl Website (operational soon):

3 Warning No success stories regarding use of high performance computing! Merely opportunities Electronics industry can benefit much from supercomputing Better understanding Faster time to market Not seen in electronics industry

4 Contents High performance mixed signal (Semi-)automatic design Multi-core analog simulation Conclusions

5 High Performance Mixed Signal December 6,

6 High Performance Functional Performance Speed, bandwidth, bit-rate Accuracy, resolution Gain Linearity, dynamic range Efficiency Power Cost Autonomy Robustness & versatility Reliability Withstand harsh environments Flexibility Adaptability Mixed Signal is the optimized mix of analog and digital Radio Interface Sensor Actuator Mixed Signal Digital Digital Processing / Storage Power Philips Research <Title> - <Author>,< MMMM dd, yyyy> 6 6

7 High Performance Mixed Signal Requires innovative process technology, circuit design expertise and architectural insight Mixed-signal businesses have attractive margins and show healthy growth in the following areas: Energy Health Mobility Security Philips Research <Title> - <Author>,< MMMM dd, yyyy> 7

8 The Chief Technology Officer s Headache... How to cope with the ever-increasing complexity of System-on-Chip (SoC), System-in-Package (SiP) and Software to continue bringing ever-greater Sensory Experiences to consumers? extern int a[]; extern int b[]; void rateconv(int n) { int i; for (i = 0; i<n/2; i++) { a[i] = b[2*i+1] + b[2*i]; } } int main(int argc, char *argv[]) { rateconv(atoi(argv[1])); } Plenary Talk/VLSI 2007/Rene Penning de Vries 8 <Title> - <Author>,< MMMM dd, yyyy> Janu ary

9 ...and Why He/She Doesn t Sleep at Night IP and Software Heaven Design Design and Implementation are linked... System Design... but pull in opposite directions Implementation Deep Sub-Micron Hell Plenary Talk/VLSI 2007/Rene Penning de Vries 9 <Title> - <Author>,< MMMM dd, yyyy> Janu ary

10 Log Scale Plen ary Complexity Crisis! Design & Software Crisis Gates/cm 2 Moore s Law (59% CAGR) Design Productivity (20-25% CAGR) Widening Gaps Will Trigger Paradigm Shift! Software Productivity (8-10% CAGR) 0.35µ 0.25µ 0.18µ 0.15µ 0.12µ 0.1µ 0.045µ January 10,

11 Coping With Complexity Key Trends More (Sub)systems on one SoC More Complexity More SW Content More (programmable) cores in single SoC Less Power Less Cost Better TTM First Time Right January 10, 2007 Solution Efficient system integration. Re-configuration Move to higher abstraction levels Separate concerns (Functions vs Architecture) SW/HW co-design. Component Technology Multi-core debugging and programming System power analysis and power-aware design Architecture exploration. DfM Design & verification productivity Maximise automation & ReUse Hardware & Software quality Verification & validation quality Designers are coping with limitations of software, but maybe the solution is in the hardware! 11 Plen ary

12 Law of (Gordon) Moore The engine behind the chips industry is Moore s law: every 2 years the speed and density of transistors is doubled <Title> - <Author>,< MMMM dd, yyyy> 12

13 Moore s law also holds for computational techniques! These effects strengthen each other! Success of analog circuit simulators 13

14 Plen ary (Semi-)automatic design January 10,

15 New challenge: semi-automatic design Develop and deploy a semi-automatic RF-AMS IP design methodology based on fully parameterized ROD cells and efficient automatic place, route, extraction and optimization <Title> - <Author>,< MMMM dd, yyyy> 15

16 New challenge: semi-automatic design Develop and deploy a semi-automatic RF-AMS IP design methodology based on fully parameterized ROD cells and efficient automatic place, route, extraction and optimization <Title> - <Author>,< MMMM dd, yyyy> 16

17 New challenge: semi-automatic design Develop and deploy a semi-automatic RF-AMS IP design methodology based on fully parameterized ROD cells and efficient automatic place, route, extraction and optimization <Title> - <Author>,< MMMM dd, yyyy> 17

18 A PCircuit is a parameterized layout of: Electronic component with more features than offered by the standard technology library Electronic circuit that consists of parameterized layouts of the previous one We can build a complete chip with parameterized blocks All sub blocks can be parameterized according to the needs of the system Derivatives and technology ports easy with Pcircuits <Title> - <Author>,< MMMM dd, yyyy> 18 CI&T Integ

19 Using PCircuits in optimization loop reduces labor intensive extraction and modification on layouts including parasitics during verification Designers can focus on more technically challenging problems performance simulator Design assistant modify design parameters ~10 minutes <Title> - <Author>,< MMMM dd, yyyy> 19 CI&T Integ

20 Key aspects of (semi-)automatic design Methodology addresses issues by 1. Design using parameterized layout cells 20 increase reuse enable fast design derivatives fast design space exploration including parasitics simplify technology port of designs 2. Layout optimization to include parasitics and interconnect semi-automatic layout optimization for performance tuning Key difference layout cells are now programmed instead of manual drawing design becomes piece of software code <Title> - <Author>,< MMMM dd, yyyy>

21 (semi-)automatic design: implementation Two aspects: 1. Parameterized cells and circuits: schematic, symbol, and layout Using programming languages Skill with Relative Object Design (ROD) ( Python) PCell is a new concept; we have extended it to Pcircuits (higher level) 2. Semi-automatic optimization of Pschematics and Playouts Using languages Skill and Ocean Solutions being developed inhouse in electronics companies <Title> - <Author>,< MMMM dd, yyyy> 21

22 Required: understand interactions! In RF power products, high frequencies, high currents, and large dimensions (compared to wavelength) come together Obtain high product performance by understanding coupling mechanisms in overall product Gain insight / understand / clarify mechanisms Close loop between simulation and measurement Verify using dedicated test structures 22 <Title> - <Author>,< MMMM dd, yyyy>

23 Account for interactions in complete product die pre-match capacitor 23 Interaction PCB, package / leadframe and die Current distribution over transistor width Die-to-die and intra-die Current crowding, eddy currents Return path <Title> - <Author>,< MMMM dd, yyyy>

24 Core simulation task: coupled device, circuit, EM (in optimization loop with many iterations) Behaviour of interconnect structure via solution of Maxwell equations Behaviour of transistors summarized in so-called compact models up to 50 parameters constructed by physicists/mathematics Semiconducting substrate, behaviour via extraction software complex R or RC networks L A R G E c o m b i n e d c i r c u i t 24

25 Required: high-speed analog circuit simulation Layout effects appear as large extracted networks that are added to the original (much smaller, but nevertheless huge) circuits Simulator must be able to cope with such extremely large circuits Problem: Most simulators cannot handle millions of equations..and if they can, it takes hours per optimization step, and several weeks for a full optimization! <Title> - <Author>,< MMMM dd, yyyy>

26 Plen ary Multi-core analog simulation January 10,

27 Analog Simulation Benchmark Many simulators are available for electronic (analog) circuit simulation nowadays In-house simulators like Pstar (NXP) and Titan (Infineon) Commercial products like Spectre, Spice, BDA Trend in electronics industry to use commercial tools Natural questions: which one is best? Does it outperform the in-house code? Are there multithread capabilities, and do they enhance simulations? Performance for huge extracted circuits ( optimization loop!) <Title> - <Author>,< MMMM dd, yyyy>

28 Many analog simulators.. In-house Pstar (NXP) Titan (Infineon) Commercial XA BDA Spectre Spectre Turbo Virtuose APS Gsim FineSim Eldo Multithreading in preparation Top performance only using multithreading <Title> - <Author>,< MMMM dd, yyyy>

29 Results for 12 bit ADC (extracted netlist)

30 Observation with regard to multithreading It appears from our experiments that multi-threading in an engineering environment has limited added value beyond 4 cores. In some cases even no improvement beyond 2 cores was observed. So unless specific hardware is made available for running such tools for instance using a separate queue for high performance jobs it is not realistic to expect a performance improvement using any additional cores. Most high performance simulators are transient only. Most of them have no support for RF analyses nor small-signal frequency domain (AC) nor harmonic balance. This means that one will always require a basic simulator to execute these analyses there will never be a complete replacement if such analyses are not covered. <Title> - <Author>,< MMMM dd, yyyy>

31 By the way

32 Filterbank example from Analog simulation benchmark report 32

33 Philips Research <Title> - <Author>,< MMMM dd, yyyy> 33

34 Philips Research <Title> - <Author>,< MMMM dd, yyyy> 34

35 Result of new Pstar simulation capability on filterbank problem (V6.0.1) Many hours for input 1 hour for DC analysis Success due to state-of-the-art linear algebra (AMD ordering and BBD implementation) 35

36 Plen ary Conclusions January 10,

37 Conclusions No success stories using supercomputing in the electronics industry Current analog simulators are moving into multi-threading, but the performance is far from optimal So far, performance improvements only from algorithms It is vital to understand all interactions in order to cope with increasing complexity My opinion: much more effort should be devoted to the use of high performance computing! It will lead to better understanding and less work-arounds It will aid the new design paradigm based on parameterized cells and circuits It will dramatically improve time-to-market, and hence give a competitive edge <Title> - <Author>,< MMMM dd, yyyy>

Evaluation of Package Properties for RF BJTs

Evaluation of Package Properties for RF BJTs Application Note Evaluation of Package Properties for RF BJTs Overview EDA simulation software streamlines the development of digital and analog circuits from definition of concept and estimation of required

More information

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011 Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design Sonnet Application Note: SAN-201B July 2011 Description of Sonnet Suites Professional Sonnet Suites Professional is an industry leading full-wave

More information

Appendix. RF Transient Simulator. Page 1

Appendix. RF Transient Simulator. Page 1 Appendix RF Transient Simulator Page 1 RF Transient/Convolution Simulation This simulator can be used to solve problems associated with circuit simulation, when the signal and waveforms involved are modulated

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

EINDHOVEN UNIVERSITY OF TECHNOLOGY Department of Mathematics and Computer Science. CASA-Report July 2010

EINDHOVEN UNIVERSITY OF TECHNOLOGY Department of Mathematics and Computer Science. CASA-Report July 2010 EINDHOVEN UNIVERSITY OF TECHNOLOGY Department of Mathematics and Computer Science CASA-Report 10-41 July 2010 Predicting 'parasitic effects' in large-scale circuits by E.J.W. ter Maten, J. Rommes Centre

More information

The wireless industry

The wireless industry From May 2007 High Frequency Electronics Copyright Summit Technical Media, LLC RF SiP Design Verification Flow with Quadruple LO Down Converter SiP By HeeSoo Lee and Dean Nicholson Agilent Technologies

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Lecture Perspectives. Administrivia

Lecture Perspectives. Administrivia Lecture 29-30 Perspectives Administrivia Final on Friday May 18 12:30-3:30 pm» Location: 251 Hearst Gym Topics all what was covered in class. Review Session Time and Location TBA Lab and hw scores to be

More information

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives Lecture 30 Perspectives Administrivia Final on Friday December 15 8 am Location: 251 Hearst Gym Topics all what was covered in class. Precise reading information will be posted on the web-site Review Session

More information

High-level synthesis of analog sensor interface front-ends

High-level synthesis of analog sensor interface front-ends High-level synthesis of analog sensor interface front-ends S. Donnay,G.Gielen y,w.sansen W.Kruiskamp,D.Leenaerts,W.vanBokhoven Katholieke niversiteit Leuven Eindhoven niversity of Technology Dep. Elektrotechniek,

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective

Overview of Design Methodology. A Few Points Before We Start 11/4/2012. All About Handling The Complexity. Lecture 1. Put things into perspective Overview of Design Methodology Lecture 1 Put things into perspective ECE 156A 1 A Few Points Before We Start ECE 156A 2 All About Handling The Complexity Design and manufacturing of semiconductor products

More information

Research in Support of the Die / Package Interface

Research in Support of the Die / Package Interface Research in Support of the Die / Package Interface Introduction As the microelectronics industry continues to scale down CMOS in accordance with Moore s Law and the ITRS roadmap, the minimum feature size

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

Part IIA Third Year Projects Computer-Based Project in VLSI Design Co 3/7

Part IIA Third Year Projects Computer-Based Project in VLSI Design Co 3/7 Part IIA Third Year Projects Computer-Based Project in VLSI Design Co 3/7 The aims of this project are to provide a degree of familiarity with the following: The potential of computer-aided design for

More information

Front-To-Back MMIC Design Flow with ADS. Speed MMICs to market Save money and achieve high yield

Front-To-Back MMIC Design Flow with ADS. Speed MMICs to market Save money and achieve high yield Front-To-Back MMIC Design Flow with ADS Speed MMICs to market Save money and achieve high yield 1 Unique Tools for Robust Designs, First Pass, and High Yield Yield Sensitivity Histogram (YSH) to components

More information

When Should You Apply 3D Planar EM Simulation?

When Should You Apply 3D Planar EM Simulation? When Should You Apply 3D Planar EM Simulation? Agilent EEsof EDA IMS 2010 MicroApps Andy Howard Agilent Technologies 1 3D planar EM is now much more of a design tool Solves bigger problems and runs faster

More information

EMI Reduction on an Automotive Microcontroller

EMI Reduction on an Automotive Microcontroller EMI Reduction on an Automotive Microcontroller Design Automation Conference, July 26 th -31 st, 2009 Patrice JOUBERT DORIOL 1, Yamarita VILLAVICENCIO 2, Cristiano FORZAN 1, Mario ROTIGNI 1, Giovanni GRAZIOSI

More information

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces DesignCon 2010 On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces Ralf Schmitt, Rambus Inc. [Email: rschmitt@rambus.com] Hai Lan, Rambus Inc. Ling Yang, Rambus Inc. Abstract

More information

Model-Based Design for Sensor Systems

Model-Based Design for Sensor Systems 2009 The MathWorks, Inc. Model-Based Design for Sensor Systems Stephanie Kwan Applications Engineer Agenda Sensor Systems Overview System Level Design Challenges Components of Sensor Systems Sensor Characterization

More information

STM RH-ASIC capability

STM RH-ASIC capability STM RH-ASIC capability JAXA 24 th MicroElectronic Workshop 13 th 14 th October 2011 Prepared by STM Crolles and AeroSpace Unit Deep Sub Micron (DSM) is strategic for Europe Strategic importance of European

More information

Session 4: Mixed Signal RF

Session 4: Mixed Signal RF Sophia Antipolis October 5 th & 6 th 2005 Session 4: Mixed Signal RF Technology, Design and Manufacture of RF SiP Chris Barratt, Michel Beghin, Insight SiP Insight SiP Summary Introduction Definition of

More information

ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS

ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS Aleksandar Radić, S. M. Ahsanuzzaman, Amir Parayandeh, and Aleksandar Prodić

More information

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen Si-Interposer Collaboration in IC/PKG/SI Eric Chen 4/Jul/2014 Design Overview U-bump Logic IC Mem IC C4 bump Logic IC Silicon/Organic substrate Interposer Mem IC CAP Package substrate Solder Ball VRM BGA

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC Bud Hunter, SerDes Analog IC Design Manager, Wipro Kelly Damalou, Sr. Technical Account Manager, Helic TSMC

More information

FinFET SPICE Modeling

FinFET SPICE Modeling FinFET SPICE Modeling Synopsys Solutions to Simulation Challenges of Advanced Technology Nodes Joddy Wang December 9, 2015 Outline SPICE Model for IC Design FinFET Modeling Challenges Solutions Summary

More information

Methods and Approaches for RF Circuit Simulation And Electromagnetic Modelling

Methods and Approaches for RF Circuit Simulation And Electromagnetic Modelling Methods and Approaches for RF Circuit Simulation And Electromagnetic Modelling T.A.M. Kevenaar 1, E.J.W. ter Maten 1, H.H.J. Janssen 1, S. Onneweer 2 1 Philips Research, Eindhoven, The Netherlands 2 Philips

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR Janusz A. Starzyk and Ying-Wei Jan Electrical Engineering and Computer Science, Ohio University, Athens Ohio, 45701 A designated contact person Prof.

More information

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Ramon Canal NCD Master MIRI. NCD Master MIRI 1 Wattch, Hotspot, Hotleakage, McPAT http://www.eecs.harvard.edu/~dbrooks/wattch-form.html http://lava.cs.virginia.edu/hotspot http://lava.cs.virginia.edu/hotleakage http://www.hpl.hp.com/research/mcpat/

More information

The importance of fully-integrated CMOS: Cost-Effective Integrated DC-DC Converters

The importance of fully-integrated CMOS: Cost-Effective Integrated DC-DC Converters The importance of fully-integrated CMOS: Cost-Effective Integrated DC-DC Converters Hans Meyvaert Tom Van Breussegem Hagen Marien Dr. Mike Wens Prof. Dr. Michiel Steyaert Overview Introduction DC-DC converters

More information

ASIC Computer-Aided Design Flow ELEC 5250/6250

ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Design Flow ASIC Design Flow DFT/BIST & ATPG Synthesis Behavioral Model VHDL/Verilog Gate-Level Netlist Verify Function Verify Function Front-End Design

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis

Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis Art Schaldenbrand, Dr. Walter Hartong, Amit Bajaj, Hany Elhak, and Vladimir Zivkovic, Cadence While the analog and mixed-signal

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Standardization of Interconnects: Towards an Interconnect Library in VLSI Design

Standardization of Interconnects: Towards an Interconnect Library in VLSI Design Standardization of Interconnects: Towards an Interconnect Library in VLSI Design Submitted in partial fulfillment of the requirements for the degree of DOCTOR OF PHILOSOPHY by P. Vani Prasad 00407006 Supervisor:

More information

Noise Constraint Driven Placement for Mixed Signal Designs. William Kao and Wenkung Chu October 20, 2003 CAS IEEE SCV Meeting

Noise Constraint Driven Placement for Mixed Signal Designs. William Kao and Wenkung Chu October 20, 2003 CAS IEEE SCV Meeting Noise Constraint Driven Placement for Mixed Signal Designs William Kao and Wenkung Chu October 20, 2003 CAS IEEE SCV Meeting Introduction OUTLINE Substrate Noise: Some Background Substrate Noise Network

More information

EECS240 Spring Advanced Analog Integrated Circuits Lecture 1: Introduction. Elad Alon Dept. of EECS

EECS240 Spring Advanced Analog Integrated Circuits Lecture 1: Introduction. Elad Alon Dept. of EECS EECS240 Spring 2009 Advanced Analog Integrated Circuits Lecture 1: Introduction Elad Alon Dept. of EECS Course Focus Focus is on analog design Typically: Specs circuit topology layout Will learn spec-driven

More information

Lecture 1: Digital Systems and VLSI

Lecture 1: Digital Systems and VLSI VLSI Design Lecture 1: Digital Systems and VLSI Shaahinhi Hessabi Department of Computer Engineering Sharif University of Technology Adapted with modifications from lecture notes prepared by the book author

More information

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver 3.1 INTRODUCTION As last chapter description, we know that there is a nonlinearity relationship between luminance

More information

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL POWER INTEGRITY ANALYSIS AND MANAGEMENT I CIRCUITS Raj Nair Donald Bennett PRENTICE HALL Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown

More information

BASICS: TECHNOLOGIES. EEC 116, B. Baas

BASICS: TECHNOLOGIES. EEC 116, B. Baas BASICS: TECHNOLOGIES EEC 116, B. Baas 97 Minimum Feature Size Fabrication technologies (often called just technologies) are named after their minimum feature size which is generally the minimum gate length

More information

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University By: K. Tripurari, C. W. Hsu, J. Kuppambatti, B. Vigraham, P.R. Kinget Columbia University For

More information

Structure-exploiting symbolic-numerical model reduction of nonlinear electrical circuits

Structure-exploiting symbolic-numerical model reduction of nonlinear electrical circuits Structure-exploiting symbolic-numerical model reduction of nonlinear electrical circuits ECMI 2010, Wuppertal, Germany, July 26-30, 2010 Oliver Schmidt Slide 1 Research Network SyreNe SyreNe System Reduction

More information

MODELING AND SIMULATION FOR RF SYSTEM DESIGN

MODELING AND SIMULATION FOR RF SYSTEM DESIGN MODELING AND SIMULATION FOR RF SYSTEM DESIGN Modeling and Simulation for RF System Design by RONNY FREVERT Fraunhofer Institute for Integrated Circuits, Dresden, Germany JOACHIM HAASE Fraunhofer Institute

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

CAPLESS REGULATORS DEALING WITH LOAD TRANSIENT

CAPLESS REGULATORS DEALING WITH LOAD TRANSIENT CAPLESS REGULATORS DEALING WITH LOAD TRANSIENT 1. Introduction In the promising market of the Internet of Things (IoT), System-on-Chips (SoCs) are facing complexity challenges and stringent integration

More information

Intelligent Systems Group Department of Electronics. An Evolvable, Field-Programmable Full Custom Analogue Transistor Array (FPTA)

Intelligent Systems Group Department of Electronics. An Evolvable, Field-Programmable Full Custom Analogue Transistor Array (FPTA) Department of Electronics n Evolvable, Field-Programmable Full Custom nalogue Transistor rray (FPT) Outline What`s Behind nalog? Evolution Substrate custom made configurable transistor array (FPT) Ways

More information

Total Hours Registration through Website or for further details please visit (Refer Upcoming Events Section)

Total Hours Registration through Website or for further details please visit   (Refer Upcoming Events Section) Total Hours 110-150 Registration Q R Code Registration through Website or for further details please visit http://www.rknec.edu/ (Refer Upcoming Events Section) Module 1: Basics of Microprocessor & Microcontroller

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

ECE 521. Design Flow. Fall 2016 Simulation. Design Verification. Why Solve Equations on a Computer?

ECE 521. Design Flow. Fall 2016 Simulation. Design Verification. Why Solve Equations on a Computer? Design Flow Comparison with specs Redesign Concept Implementation Design Specifications Circuit Schematic ECE 521 Layout SPICE etc. Physical definition Fall 2016 Physical verification Parasitic Extraction

More information

A Top-Down Microsystems Design Methodology and Associated Challenges

A Top-Down Microsystems Design Methodology and Associated Challenges A Top-Down Microsystems Design Methodology and Associated Challenges Michael S. McCorquodale, Fadi H. Gebara, Keith L. Kraver, Eric D. Marsman, Robert M. Senger, and Richard B. Brown Department of Electrical

More information

Design of Class F Power Amplifiers Using Cree GaN HEMTs and Microwave Office Software to Optimize Gain, Efficiency, and Stability

Design of Class F Power Amplifiers Using Cree GaN HEMTs and Microwave Office Software to Optimize Gain, Efficiency, and Stability White Paper Design of Class F Power Amplifiers Using Cree GaN HEMTs and Microwave Office Software to Optimize Gain, Efficiency, and Stability Overview This white paper explores the design of power amplifiers

More information

Analog-to-Digital Converter Performance Signoff with Analog FastSPICE Transient Noise at Qualcomm

Analog-to-Digital Converter Performance Signoff with Analog FastSPICE Transient Noise at Qualcomm Analog-to-Digital Converter Performance Signoff with Analog FastSPICE Transient Noise at Qualcomm 2009 Berkeley Design Automation, Inc. 2902 Stender Way, Santa Clara, CA USA 95054 www.berkeley-da.com Tel:

More information

DAV Institute of Engineering & Technology Department of ECE. Course Outcomes

DAV Institute of Engineering & Technology Department of ECE. Course Outcomes DAV Institute of Engineering & Technology Department of ECE Course Outcomes Upon successful completion of this course, the student will intend to apply the various outcome as:: BTEC-301, Analog Devices

More information

In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a

In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a 118 CHAPTER 6 Mixed Signal Integrated Circuits Testing - A Study 6.0 Introduction In the previous chapters, efficient and new methods and algorithms have been presented in analog fault diagnosis. Also

More information

Chapter 3 : Closed Loop Current Mode DC\DC Boost Converter

Chapter 3 : Closed Loop Current Mode DC\DC Boost Converter Chapter 3 : Closed Loop Current Mode DC\DC Boost Converter 3.1 Introduction DC/DC Converter efficiently converts unregulated DC voltage to a regulated DC voltage with better efficiency and high power density.

More information

Using Analyst TM to Quickly and Accurately Optimize a Chip-Module-Board Transition

Using Analyst TM to Quickly and Accurately Optimize a Chip-Module-Board Transition Using Analyst TM to Quickly and Accurately Optimize a Chip-Module-Board Transition 36 High Frequency Electronics By Dr. John Dunn 3D electromagnetic Optimizing the transition (EM) simulators are commonly

More information

Interested candidates, please send your resumes to and indicate the job title in subject field.

Interested candidates, please send your resumes to and indicate the job title in subject field. Senior/Test Engineer Responsible for preparing the Production Testpackages (Hardware and Software), and Qualification Testprograms Prepares test specifications and hardware (Probecard, Loadboard) design

More information

Cisco PONC Pavan Voruganti Senior Product Manager. March 2015

Cisco PONC Pavan Voruganti Senior Product Manager. March 2015 Cisco PONC 2015 Pavan Voruganti Senior Product Manager March 2015 Bandwidth Explosion With a progressive uptake of video, IP, audio and cloud the compound annual growth rate (CAGR) of IP traffic is above

More information

Intelligent driving TH« TNO I Innovation for live

Intelligent driving TH« TNO I Innovation for live Intelligent driving TNO I Innovation for live TH«Intelligent Transport Systems have become an integral part of the world. In addition to the current ITS systems, intelligent vehicles can make a significant

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Model-Based Design as an Enabler for Supply Chain Collaboration

Model-Based Design as an Enabler for Supply Chain Collaboration CO-DEVELOPMENT MANUFACTURING INNOVATION & SUPPORT Model-Based Design as an Enabler for Supply Chain Collaboration Richard Mijnheer, CEO, 3T Stephan van Beek, Technical Manager, MathWorks Richard Mijnheer

More information

Teaching Staff. EECS240 Spring Course Focus. Administrative. Course Goal. Lecture Notes. Elad s office hours

Teaching Staff. EECS240 Spring Course Focus. Administrative. Course Goal. Lecture Notes. Elad s office hours EECS240 Spring 2012 Advanced Analog Integrated Circuits Lecture 1: Introduction Teaching Staff Elad s office hours 519 Cory Hall Tues. and Thurs. 11am-12pm (right after class) GSI: Pierluigi Nuzzo Weekly

More information

The Design of a Two-Stage Comparator

The Design of a Two-Stage Comparator The Design of a Two-Stage Comparator Introduction A comparator is designed with the specifications provided in Table I. Table II summarizes the assumptions that may be made. To meet the specifications,

More information

MODELING AND SIMULATION FOR RF SYSTEM DESIGN

MODELING AND SIMULATION FOR RF SYSTEM DESIGN MODELING AND SIMULATION FOR RF SYSTEM DESIGN Modeling and Simulation for RF System Design by RONNY FREVERT Fraunhofer Institute for Integrated Circuits, Dresden, Germany JOACHIM HAASE Fraunhofer Institute

More information

Efficient Multi-domain ESD Analysis and Verification for Large SoC Designs

Efficient Multi-domain ESD Analysis and Verification for Large SoC Designs Efficient Multi-domain ESD Analysis and Verification for Large SoC Designs Norman Chang, Youlin Liao, Ying-Shiun Li, Pritesh Johari, Aveek Sarkar Apache Design Solutions, Inc., 2645 Zanker Road, San Jose,

More information

Overview and Challenges

Overview and Challenges RF/RF-SoC Overview and Challenges Fang Chen May 14, 2004 1 Content What is RF Research Topics in RF RF IC Design/Verification RF IC System Design Circuit Implementation What is RF-SoC Design Methodology

More information

Design of Mixed-Signal Microsystems in Nanometer CMOS

Design of Mixed-Signal Microsystems in Nanometer CMOS Design of Mixed-Signal Microsystems in Nanometer CMOS Carl Grace Lawrence Berkeley National Laboratory August 2, 2012 DOE BES Neutron and Photon Detector Workshop Introduction Common themes in emerging

More information

Electronic Circuit Simulation Tools Using Pspice On Ac Analysis

Electronic Circuit Simulation Tools Using Pspice On Ac Analysis Electronic Circuit Simulation Tools Using Pspice On Ac Analysis This Design Idea shows it can handle digital filter simulation too. PSpice has become an industry standard tool for analog circuit simulations.

More information

Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC

Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC DesignCon 2017 Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC Kwangseok Choi, Samsung Electronics Inc. [aquarian505@gmail.com] Byunghyun Lee, Samsung Electronics Inc.

More information

Efficient Methods for Large Resistor Networks

Efficient Methods for Large Resistor Networks IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 29, NO. XX, XXXX 2010 1 Efficient Methods for Large Resistor Networks Joost Rommes and Wil H. A. Schilders Abstract Large

More information

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation West Coast Luncheon January 15, 2014. PROMEX PROMEX INDUSTRIES INC. MICROELECTRONICS ASSSEMBLY TECHNOLOGIES The QFN Platform as a Chip Packaging Foundation 3075 Oakmead Village Drive Santa Clara CA Ɩ 95051

More information

Questa ADMS supports all three major methodologies for mixed-signal verification:

Questa ADMS supports all three major methodologies for mixed-signal verification: Analog-Digital Mixed-Signal Verification Questa ADMS Analog/Mixed-Signal Verification D A T A S H E E T FEATURES AND BENEFITS: Questa ADMS is the de facto industry standard for the creation and verification

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Layout-Oriented Synthesis of High Performance Analog Circuits

Layout-Oriented Synthesis of High Performance Analog Circuits -Oriented Synthesis of High Performance Analog Circuits Mohamed Dessouky, Marie-Minerve Louërat Université Paris VI (55/65) Laboratoire LIP6-ASIM 4 Place Jussieu. 75252 Paris Cedex 05. France Mohamed.Dessouky@lip6.fr

More information

Yet, many signal processing systems require both digital and analog circuits. To enable

Yet, many signal processing systems require both digital and analog circuits. To enable Introduction Field-Programmable Gate Arrays (FPGAs) have been a superb solution for rapid and reliable prototyping of digital logic systems at low cost for more than twenty years. Yet, many signal processing

More information

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir Parallel Computing 2020: Preparing for the Post-Moore Era Marc Snir THE (CMOS) WORLD IS ENDING NEXT DECADE So says the International Technology Roadmap for Semiconductors (ITRS) 2 End of CMOS? IN THE LONG

More information

International Journal of Modern Trends in Engineering and Research

International Journal of Modern Trends in Engineering and Research International Journal of Modern Trends in Engineering and Research www.ijmter.com e-issn No.:2349-9745, Date: 28-30 April, 2016 Temperaments in the Design of Low-voltage Low-power Double Tail Comparator

More information

18nm FinFET. Lecture 30. Perspectives. Administrivia. Power Density. Power will be a problem. Transistor Count

18nm FinFET. Lecture 30. Perspectives. Administrivia. Power Density. Power will be a problem. Transistor Count 18nm FinFET Double-gate structure + raised source/drain Lecture 30 Perspectives Gate Silicon Fin Source BOX Gate X. Huang, et al, 1999 IEDM, p.67~70 Drain Si fin - Body! I d [ua/um] 400-1.50 V 350 300-1.25

More information

The Need for Gate-Level CDC

The Need for Gate-Level CDC The Need for Gate-Level CDC Vikas Sachdeva Real Intent Inc., Sunnyvale, CA I. INTRODUCTION Multiple asynchronous clocks are a fact of life in today s SoC. Individual blocks have to run at different speeds

More information

AVL X-ion. Adapts. Acquires. Inspires.

AVL X-ion. Adapts. Acquires. Inspires. AVL X-ion Adapts. Acquires. Inspires. THE CHALLENGE Facing ever more stringent emissions targets, the quest for an efficient and affordable powertrain leads invariably through complexity. On the one hand,

More information

Using a Linear Transistor Model for RF Amplifier Design

Using a Linear Transistor Model for RF Amplifier Design Application Note AN12070 Rev. 0, 03/2018 Using a Linear Transistor Model for RF Amplifier Design Introduction The fundamental task of a power amplifier designer is to design the matching structures necessary

More information

SOLIMAN A. MAHMOUD Department of Electrical Engineering, Faculty of Engineering, Cairo University, Fayoum, Egypt

SOLIMAN A. MAHMOUD Department of Electrical Engineering, Faculty of Engineering, Cairo University, Fayoum, Egypt Journal of Circuits, Systems, and Computers Vol. 14, No. 4 (2005) 667 684 c World Scientific Publishing Company DIGITALLY CONTROLLED CMOS BALANCED OUTPUT TRANSCONDUCTOR AND APPLICATION TO VARIABLE GAIN

More information

Questa ADMS. Analog-Digital Mixed-Signal Simulator. Mixed-Signal Simulator for Modern Design. A Flexible Mixed-Signal Strategy

Questa ADMS. Analog-Digital Mixed-Signal Simulator. Mixed-Signal Simulator for Modern Design. A Flexible Mixed-Signal Strategy Analog-Digital Mixed-Signal Simulator Questa ADMS Analog/Mixed-Signal Verification D A T A S H E E T FEATURES AND BENEFITS: Questa ADMS is the de facto industry standard for the creation and verification

More information

Design Strategy for a Pipelined ADC Employing Digital Post-Correction

Design Strategy for a Pipelined ADC Employing Digital Post-Correction Design Strategy for a Pipelined ADC Employing Digital Post-Correction Pieter Harpe, Athon Zanikopoulos, Hans Hegt and Arthur van Roermund Technische Universiteit Eindhoven, Mixed-signal Microelectronics

More information

Mixed Signal Virtual Components COLINE, a case study

Mixed Signal Virtual Components COLINE, a case study Mixed Signal Virtual Components COLINE, a case study J.F. POLLET - DOLPHIN INTEGRATION Meylan - FRANCE http://www.dolphin.fr Overview of the presentation Introduction COLINE, an example of Mixed Signal

More information

LTE Small-Cell Base Station Antenna Matched for Maximum Efficiency

LTE Small-Cell Base Station Antenna Matched for Maximum Efficiency Application Note LTE Small-Cell Base Station Antenna Matched for Maximum Efficiency Overview When designing antennas for base stations and mobile devices, an essential step of the design process is to

More information