The Pennsylvania State University. The Graduate School. College of Engineering TUNNEL FET BASED FIELD PROGRAMMABLE GATE ARRAYS.

Size: px
Start display at page:

Download "The Pennsylvania State University. The Graduate School. College of Engineering TUNNEL FET BASED FIELD PROGRAMMABLE GATE ARRAYS."

Transcription

1 The Pennsylvania State University The Graduate School College of Engineering TUNNEL FET BASED FIELD PROGRAMMABLE GATE ARRAYS A Thesis in Computer Science and Engineering by Ravindhiran Mukundrajan c 2011 Ravindhiran Mukundrajan Submitted in Partial Fulfillment of the Requirements for the Degree of Master of Science December 2011

2 The thesis of Ravindhiran Mukundrajan was reviewed and approved by the following: Vijaykrishnan Narayanan Professor of Computer Science and Engineering Thesis Advisor Mary Jane Irwin Evan Pugh Professor of Computer Science and Engineering A. Robert Noll Chair in Engineering Mahmut Taylan Kandemir Graduate Officer of the Department of Computer Science and Engineering Signatures are on file in the Graduate School.

3 Abstract The proliferation of mobile computing systems has created a new segment in the semiconductor ecosystem where energy efficiency is the most critical design parameter. Moreover, sustaining the growth trajectory of this segment is a difficult task due to lengthy design turnaround times associated with custom design. These difficulties are further exacerbated by the consumer expectation for rapid improvements in functionality within the same energy budget. To cope with these twin challenges, it is critical to explore energy-efficient emerging technologies that can outperform CMOS and construct design frameworks that significantly reduce the design turnaround time. Commercially-available CMOS-based FPGAs provide a flexible platform for rapid prototyping and implementation, however they are energy inefficient for utilization in mobile systems. In this thesis, the design of an energy-efficient FPGA based on Tunnel FETs (TFETs), a prospective CMOS replacement device, is presented. Novel circuit designs are showcased to overcome idiosyncracies unique to TFETs, that prevent them from being direct replacements for MOSFETs in FP- GAs. The impact of TFET usage at the system level is characterized by simulating a FPGA architecture that demonstrate a significant reduction ( 1x) in critical path delay at reduced operating voltages, compared to traditional FinFET based FPGAs at the 22nm node. iii

4 Table of Contents List of Figures List of Tables Acknowledgments vi viii ix Chapter 1 Introduction Scope and Organization of Thesis Chapter 2 Circuit Design Challenges for Tunneling FETs The Tunnel FET Device Characterisitcs of TFETs Circuit Design using TFETs Static and Dynamic Logic Circuits Pass Transistor Logic for TFETs Sense Amplifier Pass Transistor Logic Dynamic Discharge Design Dynamic Pre-charge Design Bi-directional Switch based Pass Transistor Logic. 18 Chapter 3 Tunneling FET based FPGA FPGA Architectures Logic Block Architecture Routing Architecture Single-Driver Routing Architecture iv

5 3.1.3 Heterogeneous FPGAs Logical Architecture & Circuit Design Circuit Assumptions Circuit Implementation of FPGA Components Logic Block Connection Blocks Switch Blocks FPGA Simulation Infrastructure & Results Critical Path Delay Reduction FPGA Area-Delay Product Improvement Chapter 4 Conclusions & Future Work Future FPGA Explorations Bibliography 41 v

6 List of Figures 2.1 Structure of an ultra-thin body NTFET Generic Band Diagram of a NTFET InGaAs Homojunction NTFET with its Band Diagram GaSb-InAs Heterojunction NTFET with its Band Diagram Id V gs Characteristics of NTFET Id V ds Characteristics of NTFET Sneak leakage paths in PTL [1] Structure of a SAPTL circuit [2] Sense amplifier used in SAPTL [2] Energy-Delay characteristics of a 6-input XOR gate with α = 10% Energy-Delay characteristics of a 6-input XOR gate with α = 1% A 4:1 MUX implemented using Dynamic Discharge Pass Transistor Logic. Direction of current flow in the PTL stack is indicated by the dotted arrow A 4:1 MUX implemented using Pre-Charge (Type-1) pass transistor logic. Direction of current flow in the PTL stack is indicated by the dotted arrow A 4:1 MUX implemented using Pre-Charge (Type-2) pass transistor logic Logic. Direction of current flow in the PTL stack is indicated by the dotted arrow :1 Multiplexer implemented using bi-directional switches. Direction of current flow through NTFETs in bi-directional switch shown in inset Island style FPGA Architecture Logic Block Architecture [3] Energy-Delay characteristics of an Inverter Energy-Delay characteristics of a TSPC Flip-Flop Energy-Delay characteristics of a 16:1 fully encoded MUX implemented in different technologies and circuit styles vi

7 3.6 Delay improvements for different implementations of a 16:1 MUX with respect to simple FinFET based PTL Critical path delay reduction for TFET FPGAs compared to baseline FinFET FPGA Area-Delay Product improvements for TFET FPGAs compared to baseline FinFET FPGA vii

8 List of Tables 3.1 FPGA Architectural Parameters viii

9 Acknowledgments Words are never going to be enough to express my gratitude to my parents. Amma and Appa have always been pillars of support and have encouraged me at every step to make my own decisions. They are the best parents a child can hope for and I pray to the Almighty to provide me with the ability and strength to uphold the dharma expected out of a dutiful son and keep them happy and healthy at all times. I also express my heartfelt thanks to my sister, Dheeptha, for all her help and encouragement. My heartfelt thanks goes out to my adviser at Penn State, Prof.Vijaykrishnan. Dr.Vijay, thank you for providing me with this opportunity and supporting me throughout my time at Penn State. I regret the fact that I cannot not purse my PhD under your guidance... I would probably never be in this field if not for my Guru, Prof.Venkateswaran. I first met Waran as a naive undergraduate and his constant motivation made me choose a research career in Computer Engineering. I shall always remain indebted to him given the amount of time and energy he has spent on me. Professionally and personally, I have imbibed a lot from him and that will stay with me through my lifetime. I owe a huge debt of gratitude to Niranjan Soundarajan who has been a great mentor and friend. Having him as a mentor on my very first project helped me understand and cope with the rigors of grad school. As a friend, he has helped me out on numerous occasions and supported me through tough times. I shall always be grateful for all that he has done for me. It is also an opportunity to put in ink my gratitude towards all my teachers and professors - right from pre-school to grad school. Special thanks to Prof.Chita Das, Prof.R.Narayanan, Prof. Ganesh Vaidyanathan, Prof. Srikanth Dath, Mrs. Srimathi and Mr.K.K.Anand. Finally, a big thanks to all my friends - there are at least 100 of you that I need to acknowledge, so I will let this pass... ix

10 Chapter 1 Introduction Tremendous growth has been observed for the past few years in the ubiquitous and mobile computing devices segment and market indicators predict that this growth trajectory will be sustained for the foreseeable future. The key challenges that are encountered by designers in this segment are improving cost-effectiveness, reducing form factor and achieving higher energy-efficiency. Most of these devices are battery operated and hence the fundamental tradeoff made in this segment is with regard to performance and energy-efficiency. Current day systems are predominantly designed using the 4-decade old workhorse, Complementary Metal Oxide Semiconductor (CMOS) technology. CMOS technology has proved to be an ideal framework to realize designs due to its desirable performance, power, cost and reliability characteristics. However as we scale down to smaller feature sizes, fundamental limits are being breached and this in turn causes transistors and wires in the nanometer regime to behave in a manner that is far from ideal [4]. The continued scaling of the MOSFET device is leading to increased leakage or OFF state current due to short channel effects, such as Drain

11 2 Induced Barrier Lowering (DIBL), and the supply voltage cannot be reduced further due to the subthreshold slope being limited to 60 mv/decade at room temperature. These challenges have brought the future of CMOS into question [5] and researchers have begun their quest for the next digital switch [6]. Technological limitations aside, ubiquitous and mobile computing systems are generally custom designed to suit the application characteristics and consequently minimizes area, maximizes energy-efficiency and reduces delay. The scope and application of these devices range from Ultra Low Power (ULP) miniature devices, custom designed for health care and implantable bio-electronics [7], to chipsets in mobile phones that are optimized for a particular function. Custom design enhances the energy-efficiency of system, but the flip side is the long design turnaround time coupled with limited applicability of the design in other environments. These drawbacks lead to reduced cost efficiency, thus, necessitating the exploration of better implementation strategies. A flexible design framework is required to overcome the deficiencies encountered with regard to applicability and cost-effectiveness in current day ubiquitous systems. However, fundamental requirements of energy efficiency and performance cannot be compromised in the quest for this flexible framework. Commercially available processors and Field Programmable Gate Arrays (FPGAs) are not ideal for this design space as they are energy inefficient. Research labs have demonstrated ULP designs operating at sub-threshold and near-threshold voltages that greatly enhance energy-efficiency. Sub-V t microprocessors have been realized with very low energy dissipation per instruction [8] [7], but they require a large amount of instructions to complete even simple computing tasks. A sub-v t FPGA along

12 3 with a custom CAD flow was presented recently [9] [10] to provide a flexible, energy efficient and low cost framework for designing ubiquitous systems. While this is a step in the right direction with regard to certain kinds of ubiquitous systems like implantable bio-electronics, the performance offered by a sub-v t FPGA renders it ineffective for more regular computing devices like mobile phones which requires an operating frequency that is at least in the megahertz range. The employment of FPGAs in mobile domain has been suggested recently by both industry and the academic community in order to meet the stringent requirements with regard to design turnaround time and cost effectiveness. FPGAs for mobile applications have been recently showcased by vendors like Actel [11] and SiliconBlue [12], and improvements in energy efficiency for certain mobile applications have been reported in [13]. However, the reluctance in adoption of FPGAs into mainstream designs can be attributed to the fact that the energy efficiency offered by a FPGA implementation cannot be compared to that of custom design. The flexibility offered by a FPGA is also the cause of energy inefficiency as it requires the utilization of circuit elements which are typically not used in custom design. Technological advancements combined with sophisticated CAD tools that minimize resource wastage will help improve energy efficiency for FPGAs and render them more useful in the embedded systems design space. 1.1 Scope and Organization of Thesis The primary focus of this thesis is to evaluate the feasibility of designing a Tunnel FET (TFET) based FPGA. The Tunnel FET (TFET) is a prospective CMOS

13 4 replacement device [6] [14] shown to have attractive operation characteristics compared to CMOS at future technology nodes [15]. This thesis is an attempt to design a FPGA by overcoming circuit design challenges that are unique to Tunnel FETs. This thesis is organized as follows. The structure, operating principle, classifications and the working characteristics of TFETs are presented in Chapter 2. Further, circuit design challenges encountered due to the operating characteristics of TFETs are enumerated and solutions are presented to overcome them. Chapter 2 also evaluates the standing of TFET based circuits in terms of energy consumption and delay when compared to FinFET based CMOS designs. Chapter 3 provides a brief overview of modern FPGA architectures and explains the various architectural parameters. Further, a logical higher level FPGA architecture is translated to a circuit level implementation and the impact of TFET based designs at the system-level is evaluated. The final chapter is used to provide conclusions and explore scope for future work.

14 Chapter 2 Circuit Design Challenges for Tunneling FETs The previous chapter provided a brief introduction to the key challenges faced by the semiconductor industry with regard to CMOS scaling and energy efficiency. The energy consumed by a CMOS gate is given by Equation 2.1. Energy gate = 1 2 C gate V 2 dd α + I Leak V dd τ (2.1) From Equation 2.1, it can be inferred that the switching energy can be quadratically reduced by lowering the supply voltage. However, the threshold voltage (V t ) of the MOSFET must also be reduced in order to maintain a high on-state drive current (I ON ) and avoid large circuit delays [16]. When the threshold voltage (V t ) is reduced, the off-state leakage current (I OF F ) increases exponentially which in turn results in larger static energy consumption. Thus, there is a fundamental limit to scaling of MOSFET threshold voltage and subsequently the supply volt-

15 6 age. This is attributed to the subthreshold slope of MOSFETs being limited to 60 mv/decade at room temperature [17]. This necessitates the exploration of alternate devices that can outperform the MOSFET at nanometer dimensions [6] [14]. A promising alternative to MOSFETs, which does not suffer from the limitations discussed previously, is the Tunnel FET (TFET) [15] which works on the principle of interband tunneling. From a design perspective, however, TFETs are not direct replacements for MOSFETs and certain unique idiosyncracies of TFETs must be overcome before they can be integrated into mainstream designs. 2.1 The Tunnel FET Device A Tunnel FET (TFET) is a transistor that works on the principle of inter-band tunneling. A TFET, shown in Figure. 2.1, is basically a p i n diode with a gateoxide over the intrinsic semiconductor region. The gate action induces a strong band bending at the source-channel interface such that the length of the tunneling path decreases. The band structure of a NTFET at OFF and ON states is shown in Figure The tunneling current has an exponential dependence on the tunnel path length. Thus, in essence, the TFET can be defined as a semiconductor device in which the gate controls the source-drain current through modulation of Bandto-Band Tunnelling (BTBT). Band-to-Band Tunnelling (BTBT) is a process in which electrons tunnel from the valence band through the semiconductor bandgap to the conduction band or vice-versa [18]. Tunnel FETs promise sub-60mv/decade subthreshold slopes and resilience to

16 7 Figure 2.1. Structure of an ultra-thin body NTFET Figure 2.2. Generic Band Diagram of a NTFET short channel effects [19]. These attractive characteristics provide an opportunity to obtain better performance at lower supply voltages without impacting the OFFstate current. However, it must be noted that at higher supply voltages, the I ON of MOSFETs is much larger than that of TFETs and as a consequence CMOS based design perform better [20]. Tunnel FETs can be fabricated either as a homojunction, which employs the same material system throughout the device, or as a heterojunction employing different material systems within the device. Ideally, silicon based TFETs are most attractive as they would allow a full re-use of the expertise acquired over decades and the existing fab infrastructure. However, the small band-to-band tunneling efficiency in large-bandgap silicon results in low ON currents for silicon TFETs [14]. This led to the exploration of III-V material system based homojunction TFETs and heterojunction TFETs as shown in Figure. 2.3 and Figure Compared to homojunction TFETs, a higher I ON can be obtained in in heterojunction TFETs

17 8 Figure 2.3. InGaAs Homojunction NTFET with its Band Diagram Figure 2.4. GaSb-InAs Heterojunction NTFET with its Band Diagram because the staggered P-N heterojunction at the source-channel interface provides a higher critical-field strength for efficient interband tunneling [21]. Further, the heterojunction used in this study employs a InAs, a lower bandgap material system compared to the InGaAs used in the homojunction TFET.

18 9 Recently, a number of TFETs have been experimentally demonstrated [22] [23] and thus, effectively demonstrates the improvements made in process flows. A key advantage with TFETs is that their fabrication is completely compatible with standard CMOS processing unlike other alternative devices. However, from a design perspective, it must be understood that TFETs are not direct replacements for MOSFETs in digital designs and overcoming certain idiosyncracies associated with the device is key challenge Characterisitcs of TFETs The transfer (I D V GS ) characteristics of a 22nm GaSb-InAs heterojunction NT- FET is shown in Figure. 2.5 and the output (I D V DS ) characteristics are shown in Figure The steep subthreshold slope is clearly observed in the transfer characteristics. The output characteristics of the device provide some interesting insights about the device. Unlike MOSFETs, we observe asymmetric current conduction characteristics with TFETs with conduction currents present only in the reverse-bias region. Thus, the device acts like a unidirectional switch with minimal conduction currents observed under moderate forward bias. Under high forward bias, there is significant I DS irrespective of applied gate voltage. 2.2 Circuit Design using TFETs This section will focus on identifying circuit design opportunities where the attractive characteristics of TFETs can be exploited and also identify potential challenges that must be overcome to successfully commercialize TFETs. The scope of

19 10 Figure 2.5. Id V gs Characteristics of NTFET this discussion is restricted to the design of digital circuits. Digital circuits can be classified into logic circuits, which are predominantly used to do computation and logic operations, and memory circuits like SRAM which are used to store digital data. Several SRAM designs for TFETs have been proposed and evaluated [24] [25] [26] [20] and hence, the focus will be on logic circuit families for the remainder of this section Static and Dynamic Logic Circuits With regard to static and dynamic logic, TFETs are a drop in replacement for MOSFETs. Static circuits use pull-up and pull-down networks where the current flow in each device is uni-directional and dynamic circuits utilize a pull down network similar to static circuits and a clocked pull-up transistor. All the devices used in these circuit styles are operated only in the reverse-bias region and hence

20 11 Figure 2.6. Id V ds Characteristics of NTFET the asymmetric current conduction characteristics, as observed in the device output characteristics (Figure. 2.6), does not affect static and dynamic circuit styles Pass Transistor Logic for TFETs Pass Transistor Logic (PTL) [4] is widely used to implement many important logic functions and circuits like XOR, MUX etc. This is because PTL can implement a logic circuit with fewer transistors compared to their static counterparts. In PTL, logic operation is performed by connecting and disconnecting the input signal to the output and the same pass transistor stack is used to perform both pull-up and pull-down operation. This in turn reduces the latency and switching energy consumed by the circuit due to reduced capacitance in the network. In MOSFET based Pass Transistor Logic (PTL), input signals are provided at the gate and drain of a nmos tranistor and the output node is charged and

21 12 discharged based on the inputs. Unlike MOSFETs, the source and drain architecture of a TFET is asymmetric and hence results in an asymmetric current flow between the two nodes as observed in the output characteristics shown in Figure This limits the utilization of TFETs as bi-directional pass transistors and renders pass-gate logic useless for TFET based circuits. It also necessitates that the orientation of the transistor, i.e. the location of source and drain, be determined at design time. Thus, the uni-directional conduction characteristic is one of the major challenges that must be overcome for successful utilization of TFETs in the mainstream. The following sections provides some circuit techniques that can be employed to design functional pass transistor circuits Sense Amplifier Pass Transistor Logic Sense Amplifier Pass Transistor Logic (SAPTL) is a special type of pass transistor logic where the focus is on limiting leakage energy consumption in pass transistor circuits, especially at very low supply voltages. While there are no explicit supply and ground connections in PTL, unlike static and dynamic CMOS circuits, the inputs of the PTL stack can create temporary sneak leakage paths as shown in Figure In order to overcome such sneak leak paths a new topology was presented [1] [2] where a single driver, typically an inverter, is used to drive an inverted Binary Decision Diagram (BDD) based PTL stack as shown in Figure The pseudo dual rail outputs of the the stack are then evaluated using a latch based sense amplifier. The structure of SAPTL provides an opportunity to drastically reduce the threshold voltage of MOSFETs in the stack, as there is no leakage path, while

22 13 Figure 2.7. Sneak leakage paths in PTL [1] Figure 2.8. Structure of a SAPTL circuit [2] using high threshold devices for the driver and sense amplifier. The sense amplifier used is a simple cross-coupled latch based one with an input pre-amplifier stage as presented in Figure As expected, SAPTL is more efficient for designing structures with large fan-ins as there is considerable energy being consumed by the sense amplifier. SAPTL suffers from the following flaws: 1. There is no path to discharge the PTL stack. Hence, discharge transistors must be provided at the output of the stack and this creates a leakage path. 2. The use of low V t transistors in the PTL stack causes the leakage current

23 14 Figure 2.9. Sense amplifier used in SAPTL [2] to charge the internal node capacitances in the off state path and thus waste energy. 3. The CMOS based sense amplifier is not very sensitive at ultra-low voltages. SAPTL is a style where TFETs can be used as drop in replacements for MOS- FETs. TFETs are inherently uni-directional and hence are ideal devices to use in this topology. Further, using TFETs in SAPTL overcomes the problems associated with CMOS based SAPTL. The sense amplifier is very sensitive because TFETs outperform CMOS at ultra low voltages and energy is not wasted in charging the off-state path capacitances. Further, since there is only a small voltage drop at the output of the stack when TFETs are used, a simple buffer can be used to provide the required drive strength at the output if further energy reduction is required. Figure and Figure show the energy-delay characteristics obtained for a 6-input XOR gate with activity factors for 10% and 1% respectively. Two CMOS based configurations are presented, the first employs high V t devices in the driver

24 15 Figure Energy-Delay characteristics of a 6-input XOR gate with α = 10% and sense amplifier and the other utilizes only low V t devices. The PTL stack is designed using low V t devices for both cases. The supply voltage is swept from 700mV down to 300mV and the simulation is performed for 1000 cycles. Based on the energy-delay characteristics, it can concluded that TFET based SAPTL clearly beats its CMOS counterpart. Further, the leakage energy dominance observed in CMOS designs at ultra low supply voltages in absent in TFET based designs Dynamic Discharge Design The SAPTL is at best an esoteric design style which is not suited for mainstream applications. Better solutions to implement pass transistor logic using TFETs are required. It is obvious from the output characteristics that TFETs in a pass transistor stack can be oriented only to charge or discharge the output node. An easy way to overcome this hurdle is to use a clocked discharge transistor to discharge

25 16 Figure Energy-Delay characteristics of a 6-input XOR gate with α = 1% the output before every computation. While this seems straightforward, there are concerns that must be addressed. Some internal nodes can be charged up and still be disconnected from the end output node. This necessitates discharge transistors at every internal node. Further, a set of blocking transistors are need to disconnect the inputs from the stack while the internal nodes are being discharged. These extra transistors increase the area of the stack and the net capacitance that needs to be charged and hence directly affects the delay and energy consumption. A 4:1 multiplexer using dynamic discharge design is shown in Figure The blocking transistors which isolate the inputs from the PTL stack is shown in dotted lines Dynamic Pre-charge Design An alternative method, which will negate the need to discharge all internal nodes before every cycle, is the dynamic pre-charge design. In this case, the TFETs in

26 17 Figure A 4:1 MUX implemented using Dynamic Discharge Pass Transistor Logic. Direction of current flow in the PTL stack is indicated by the dotted arrow the pass transistor stack are oriented to only discharge the output node which is pre-charged to V cc every cycle. The inputs of the PTL stack must be isolated from the output node while pre-charging to prevent the chance of a direct V cc to GND short circuit. Figure & Figure are two implementations of 4:1 MUX implemented using pre-charge based PTL. In Figure. 2.13, the transistors shown with dotted lines are used to isolate the stack from inputs during the precharge cycle. This is similar to the dynamic discharge design and increases the circuit area considerably. A better design to achieve this purpose is presented in Figure This design consumes only one extra transistor to isolate the output node from the inputs and hence is more area efficient. However, as with any precharge and evaluate design, there exists the possibility of degradation of output voltage due to sharing of charges among internal nodes during the evaluate phase.

27 18 Traditionally, this drawback is overcome by using a pull-up transistor based keeper that restores the output to V cc. However, in TFET based designs, a NTFET can be used as a keeper with the output node driving the keeper by itself as shown in Figure & Figure This is possible as NTFETs can be envisioned as near-zero threshold voltage devices that cause only a minute drop ( 50mV) in the output voltage when used as a pass transistor. This attractive property of NTFETs allows them to be employed as a level restorer. Using a NTFET as a keeper improves the response of the keeper circuitry and helps in improving the energy and delay characteristics. The degradation in output voltage does not result in any extra leakage power consumed by circuits downstream as it is very small. For all its advantages, a subtle drawback that is inherent in this type design is that the range of operating voltages is limited. This is to prevent the NTFETs in the PTL stack from becoming forward-biased and consequently conduct large currents irrespective of the gate voltage Bi-directional Switch based Pass Transistor Logic The dynamic PTL designs presented previously overcome the problem of designing PTL circuits with uni-directional switches. However, these styles requires a redesign of pass transistor logic based standard cells and the development of new synthesis methodologies and tools. A novel way of overcoming uni-directional conduction is to use two NTFETs, with their drains oriented in opposite directions, to implement a bi-directional switch as shown in the inset in Figure The bi-directional switch operates just like a nmos pass transistor and hence allows the re-use of existing PTL synthesis methods and tools. The obvious drawback

28 19 Figure A 4:1 MUX implemented using Pre-Charge (Type-1) pass transistor logic. Direction of current flow in the PTL stack is indicated by the dotted arrow Figure A 4:1 MUX implemented using Pre-Charge (Type-2) pass transistor logic Logic. Direction of current flow in the PTL stack is indicated by the dotted arrow

29 20 Figure :1 Multiplexer implemented using bi-directional switches. Direction of current flow through NTFETs in bi-directional switch shown in inset of this implementation is that area of circuits is doubled. Further, the range of operating voltages must be limited in order to make sure that the NTFETs in the PTL stack does not become forward-biased. A 4:1 multiplexer designed using bi-directional switches is shown in Figure Based on the circuit designs presented in this chapter, a tile based FPGA is designed and the impact of TFET based circuits is evaluated at the system level. A FPGA is predominantly made up of different varieties of multiplexer designs, which are most efficient in terms of delay, area and energy when pass transistor logic is utilized. Hence, the impact of above discussed circuit styles can be best evaluated by constructing a FPGA. The architecture and the circuit level implementation of the different FPGA blocks and their characterization are presented in the following chapter.

30 Chapter 3 Tunneling FET based FPGA Field Programmable Gate Arrays (FPGAs) are re-configurable hardware systems that can used to implement arbitrary logic designs. FPGAs have evolved considerably since their introduction in 1984 and are now used in a wide range of markets including communications, consumer electronics, automotive electronics, defence systems and as accelerators in high performance computing [27]. FPGAs provide an attractive platform for rapid implementation of designs and thus achieve fast turnaround times at reduced costs compared to Application Specific Integrated Circuits (ASICs). However, the flip side of the field-programmable characteristic of a FPGA is the area, energy and performance penalty incurred by providing the reconfigurable fabric compared to an ASIC. It was estimated that a fine-grained, pure-soft logic based FPGA when compared against a standard cell based ASIC design at the same technology node, might be 4x slower, 35x larger and may consume 14x more dynamic power [28]. This necessitates the exploration of more efficient circuit techniques and better architectures to bridge the gap between ASICs and FPGAs. Further, the static power consumed by a FPGA increases with technology

31 22 scaling and is anticipated to a major obstacle for utilization of FPGAs in battery powered embedded applications [29] [30]. Commercial FPGAs can be broadly classified into three categories: 1. SRAM based 2. Anti-fuse based 3. Flash based Anti-fuse based FPGAs are one-time programmable FPGAs. The connections between logic blocks are made by burning anti-fuses on the routing tracks. This type of FPGAs are predominantly used for satellite and space electronics [3] and scaling to smaller technology nodes has been a critical challenge [31]. In flash based FPGAs, the configuration bits and the look-up table (LUT) memory are made up of flash memory. The use of flash memory reduces the area consumed by the configuration bits and guarantees better area-efficiency. However, the critical challenges faced in this type FPGA is the manufacturing cost overhead in integrating flash memory with standard CMOS process, write endurance of flash memory and the scaling challenges associated with flash memory. The LUT memory and configuration bits of a SRAM based FPGA are volatile. However, they can be reprogrammed almost infinite times over the life-cycle of the FPGA. Further, since they are purely CMOS based, the manufacturing process is highly optimized.

32 FPGA Architectures A basic FPGA consists of numerous look-up table (LUT) based logic blocks connected to each other through an interconnection network of programmable routing switches and a set of I/O blocks that provide the off-chip interface. A logic design is implemented on a FPGA by utilizing the logic blocks to implement parts of the design and configuring the interconnection network to interconnect the logic blocks. Almost all current day FPGAs use an architecture known as Island-style architecture [27]. As depicted in Figure. 3.1, the logic blocks are surrounded by routing elements in this type of architecture and hence the name. The island style FPGA is designed by repeatedly instantiating a single tile [32] numerous times. Each tile consists of a Logic Block (LB), two Connection Blocks (CBs), a Switch Block (SB) and interconnect wires. The functionality of these components along with other definitions that define the logical architecture of a FPGA is presented in the following sections Logic Block Architecture The logic block is used to provide the customizable logic functionality, that is expected of a FPGA. The implementation of the logic block significantly impacts the delay, area and energy consumption of a FPGA. Each logic block consists of a cluster of Basic Logic Elements (BLEs) as depicted in Figure. 3.2, which typically consists a K-input look-up table paired with a flip-flop. The BLEs are normally clustered in order to share input and output signals within the cluster. It has been

33 24 Figure 3.1. Island style FPGA Architecture reported in [33] that for a logic cluster of size N employing K-bit BLEs, the ideal input vector size is given by Equation 3.1. A full crossbar architecture capable of connecting any logic block input or BLE output to any BLE input, as shown in Figure. 3.2, is assumed for the intra-cluster routing. I = K 2 (N + 1) (3.1) Current day FPGAs sometimes employ fracturable LUTs [34] that can be split into multiple smaller LUTs if required in their BLE. The use of fracturable LUTs

34 25 Figure 3.2. Logic Block Architecture [3] minimize the number of unused inputs in each BLE and hence optimizes CLB utilization. Further, it was found in [35] that the flexibility offered by the full crossbar intra-cluster routing architecture described previously is typically not required and is no longer common in modern day FPGAs [36] Routing Architecture In an island-style FPGA architecture, the LBs are surrounded by programmable routing wires in routing channels that are used to interconnect LBs. The routing tracks in the channels are typically segmented and can be routed using pro-

35 26 grammable switches found in the switch block at the intersection of channels. The routing tracks are connected to the logic block through the connection block (CB). The channel width, W, is the number of tracks in the channel and the logical length of each routing segment, L, is defined as the number of logic blocks spanned by the segment. The number of segments that any segment can connect to in a switch block is the switch block flexibility, F s. The input connection block flexibility, Fc in, is the number of tracks within the channel that can connect to a logic block input and the number of tracks to which a logic block output can connect is the output connection block flexibility, Fc out. Some commercial architectures merge the output connection block with the switch block [34] Single-Driver Routing Architecture One significant attribute of the routing architecture is the nature of the connections driving each routing segment. In the past, approaches that allow each routing segment to be driven from multiple points along the segment were common [27]. These multi-driver designs required some form of tri-state mechanism on all potential drivers. A single-driver approach is now widely used instead [37]. The single-driver approach, while reducing the flexibility of the individual routing segments, is advantageous for both area and performance reasons because it allows standard inverters to drive each routing segment instead of the tri-state buffers or pass transistors required for the multi-driver approaches. Single-driver routing is the only type of routing that will be considered in this work.

36 Heterogeneous FPGAs Some modern FPGAs employ hard-designed blocks like multipliers and block RAMs in addition to the soft logic in order to improve performance and reduce the area foot print. These components provide resource heterogeneity within a FPGA. An investigation presented in [28] found hard logic blocks useful in narrowing down the area gap in comparison to an ASIC to 4.7x, however these blocks had only a moderate impact on power and almost no impact on delay. The other types of heterogeneity that are found in FPGAs are the presence of multiple different tiles and denser routing tracks in selective regions. 3.2 Logical Architecture & Circuit Design In previous section, the various parameters that characterize a FPGA architecture were defined. The logical architecture of a FPGA defines the logical behavior of a FPGA in terms of the LUT size, cluster size and the structure of routing segments and switches. In this section, the logical architecture of a FPGA is translated into an electrical circuit level netlist, which determines the area, delay and energy consumption. For the purpose of this discussion, the architectural parameters that have been assumed are presented in Table These are consistent with other FPGA architecture explorations performed in the academia [38] [39] Circuit Assumptions An island-style tiled architecture, comprising of only pure soft logic blocks, is the focus of this study. This is because soft-logic is the most important factor in

37 28 Parameter Value LUT Size (K) 4 Cluster Size (N) 10 Number of Cluster Inputs (I) 22 Tracks per Channel (W) 104 Track Length (L) 4 Interconnect Style Unidirectional Driver Style Single Driver Fc in 0.15 Fc out 0.10 Pads per row/column 4 Table 3.1. FPGA Architectural Parameters determining the area, performance and delay of an FPGA [38]. As a consequence of this constraint, the circuit implementation of the FPGA architecture under study will consist purely of inverters, configuration memory, flip-flops and different varieties of multiplexers. The above mentioned circuits circuits are designed and characterized for 22nm planar-cmos, FinFET CMOS and Tunnel FET technologies. Predictive technology models [40] are used for simulating planar-cmos designs. For simulating FinFET and TFET designs, look up table based Verilog-A models [21] are utilized. Verilog-A based modeling is an efficient and accurate way for simulating emerging devices, like TFETs, for which compact or SPICE models are not available [?]. Inverters are implemented using the standard static design style. The energydelay characterisitcs of a size one inverter operating with various activity factors is shown in Figure. 3.3 for different technologies. An obvious observation that can be made is that FinFET-CMOS inverter clearly beats the planar-cmos inverter across the design space as expected. Further, we observe that the FinFET inverter performs better than the TFET inverter at higher voltages. This is again expected

38 29 Figure 3.3. Energy-Delay characteristics of an Inverter as I ON of FinFETs is much higher compared to that of TFETs at higher voltages. The crossover point where TFETs start to beat FinFETs is in between 600mV and 500mV. Further, the energy-delay characteristics of TFET inverter does not exhibit the leakage energy dominance at lower supply voltages and activity factors unlike planar-cmos and FinFET-CMOS inverters. Since FinFET based designs clearly beat planar-cmos designs, only FinFET based designs are used for comparison with TFET designs for other circuit elements. For the configuration memory, a 6T TFET SRAM presented in [25] is used. This SRAM uses a novel circuit design to overcome the unidirectional conduction property of TFETs. However, it must be noted that these SRAMs are written into only once while programming and the reads from them are not through a sense amplifier. Instead, the outputs of the cross coupled inverter are directly hardwired

39 30 Figure 3.4. Energy-Delay characteristics of a TSPC Flip-Flop to logic and routing block inputs, negating the concern for strong read margins requirements which arise if the access transistors are used. The flip-flops in FPGAs contribute very little to the FPGA area ( 5% of total area) and performance. The flip-flop used in this study are True-Single Phase Clock (TSPC) flip-flops discussed in [4]. The energy-delay characteristics of this flip-flop for different activity factors is presented in Figure The major component in a FPGA is the multiplexer which is used in both logic blocks, to create LUTs, and in routing fabric as switches. Multiplexers can be efficiently designed using pass transistor logic when compared to other design styles and hence all multiplexers in this work are constructed using nmos/ntfet transistors. Circuit design solutions presented in the previous chapter are used to implement NTFET based PTL. Fully encoded MUX designs are used for the LUTs

40 31 whereas partially decoded 2-level MUX structures, which exhibit a reduced latency by using more select signals, are used in routing switches [3]. The width of each MUX is determined by its position in the FPGA and will be explained in the following subsection Circuit Implementation of FPGA Components The primitive circuits described in the previous subsection need to be combined together appropriately to obtain the tile implementation, which in turn is repeatedly instantiated to construct the FPGA. This subsection deals with the design of different sub-components like the CLB, CB and SB within the tile of a unidirectional single driver FPGA architecture Logic Block The logic block contains a cluster of Basic Logic Elements (BLEs) interconnected using a full crossbar routing switch (Figure. 3.2). The BLE consists of a LUT and flip-flop. To implement a 4-input LUT as specified in Table. 3.2, a 16:1 fully encoded tree MUX is required. The 4 LUT inputs are basically the select signals of the MUX which select the required data inputs stored in LUT memory. Multiplexer designs are most efficient when implemented using pass-transistor logic. In the previous chapter, some circuit design options to implement PTL using TFETs was presented. These circuit implementations are used to design the fully encoded 16:1 MUX. Further, in order to obtain insight on the impact of the change in circuit design along with that of technology change to TFETs, the dynamic precharge design (Type 2) is also implemented using FinFETs. The energy-delay

41 32 characteristics for a fully encoded 16:1 MUX is presented in Figure. 3.5 for an activity factor of 10% over 1000 clock cycles for each design. Many interesting insights are obtained from Figure This first key observation is that the energy consumed by the FinFET designs, both traditional PTL and the dynamic PTL implementation, is almost the same across the design space. However, it must be noted that in traditional PTL design, dynamic energy is determined only by the number of 0-1 transitions of the output node whereas in the case of dynamic PTL both 0-1 and 0-0 transitions are contributors. Secondly, the leakage power dissipated by both circuits is the same, however, the leakage energy consumed is marginally different. This can be observed clearly at lower operating voltages where the reduced delay of the dynamic design implies reduced cycle time and hence lesser reduced leakage and total energy consumption. With regard to TFET based designs, the energy-delay characteristics of the pre-charge based designs are most impressive. Better delay characteristics can be attributed to the isolated charging of just the output capacitance during the pre-charge phase, resulting in an enhanced rise time and thus lesser overall delay. With regard to energy consumption, the orientation of devices in the PTL stack of the the pre-charge based designs result in reduced leakage energy consumption as there are no sneak leakage paths created by data inputs as shown in Figure 2.7. The bi-directional switch based designs suffer from the presence of sneak leakage paths which result in higher leakage energy consumption compared to the pre-charge based designs. In the case of pre-discharge design, the presence of discharge transistors at internal nodes creates more leakage paths resulting in higher static energy dissipation. Further, the dynamic energy consumption of the pre-charge based designs is also

42 33 Figure 3.5. Energy-Delay characteristics of a 16:1 fully encoded MUX implemented in different technologies and circuit styles lesser as internal node capacitances present in the PTL stack is much smaller in these circuits. Based on Figure. 3.5, it is obvious that the combined influence of technology (TFETs) and new circuit styles provide far greater benefits that just what novel circuit designs alone can offer. The improvement obtained in delay for different circuit implementations with respect to traditional PTL implemented using FinFET is presented in Figure The CLB also contains a full crossbar intra-cluster routing that provides the functionality to connect any input of the logic block or any output of the BLEs to the required LUT input. The width of this routing MUX is determined by

43 34 Figure 3.6. Delay improvements for different implementations of a 16:1 MUX with respect to simple FinFET based PTL equation 3.2. Since, this is a routing MUX, a 2-level structure is used to reduce delay. Further, since there are 10 BLEs in a cluster and 4 inputs per each BLE, 40 such MUXes are required. The energy-delay characteristics of the 2-level MUXes is very similar to that of the fully encoded structure and is hence not presented here. W idth BLErouting M UX = I + N = = 32 (3.2)

44 Connection Blocks The Connection Block is used to connect the routing tracks to CLB input pins. This functionality is again implemented using a 2-level multiplexer. The parameter F c,in is defined as the number of tracks within the channel that can connect to a logic block input. Using the value of F c,in from Table. 3.2, the CB MUXes should have a width given by equation 3.3. Since there are 22 inputs to the CLB, 22 MUXes are grouped to form the Connection Block (CB). W idth CBM UX = F c,in W = (3.3) Switch Blocks The Switch Blocks (SB) connect the routing segments across tiles. An single driver routing architecture with switch block flexibility (F S ) of 3 has been assumed in this work. The width of the SB routing MUX [3] is given by equation 3.4. The switch block is constructed from 52 such 2-level MUXes. W idth SBM UX = 2W L F S + (2W 2W L )(F S 1) + F c,out W N 2W L = 11 (3.4) 3.3 FPGA Simulation Infrastructure & Results The observations presented in the previous section clearly showcase the fact that at the component level, TFET based circuits outperform their FinFET counterparts at reduced supply voltages. However, the performance benefits obtained at the component level need not necessarily be reflected at the system level when a circuit

45 36 is mapped onto the FPGA. In order to characterize the impact of TFET based FPGAs in terms of critical path reduction, a simulation framework based on the Virtual Place and Route (VPR) [27] tool, which is essentially a FPGA simulator, is used to place and route 20 of the largest MCNC benchmark circuits [41]. The inputs needed for simulating a FPGA fabric using VPR are extracted from the component level circuit simulations presented in the previous section Critical Path Delay Reduction Figure. 3.7 shows the average reduction in critical path delay for circuits mapped to TFET based FPGAs, employing different multiplexer implementations, compared to those mapped on the baseline FinFET FPGA which employs traditional PTL based multiplexers. The dynamic discharge based multiplexer implementation is not evaluated as it area inefficient. Best results are obtained when the operating voltage is 300mV and the benefit reduces as the operating voltage is increased. The TFET based FPGAs become slower compared to their FinFET counterparts when the operating voltage is past 700mV. Further, it can be seen that the improvements seen at the component level is closely reflected in critical path delay improvements for operating voltages of 500mV, 400mV and 300mV. However, this is not the case for 600mV. This is because at 600mV, the delay through interconnect wires is the dominant factor in determining the critical path. However, at lower voltages, the performance of the FinFET circuits degrade rapidly and hence the circuit delay determines the critical path.

46 37 Figure 3.7. Critical path delay reduction for TFET FPGAs compared to baseline FinFET FPGA 3.4 FPGA Area-Delay Product Improvement The average improvements obtained in the Area-Delay Product (ADP) for different operating points is presented in Figure The ADP improvements obtained for the TFET FPGAs employing pre-charge based multiplexers is much greater than that achieved with bi-directional switch based multiplexers. This is because the area penalty is greater for designs employing bi-directional switches. Based on these results, it can be argued that TFET designs create a new spot in the design space where enhanced energy-efficiency can be obtained, by virtue of voltage scaling, without severely compromising on performance. However, more characterizations and rigorous explorations need to be performed to be able to

47 38 Figure 3.8. Area-Delay Product improvements for TFET FPGAs compared to baseline FinFET FPGA accurately determine the potential of TFET based FPGAs in the ubiquitous and mobile computing ecosystem. These issues are discussed further in the following chapter.

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

TRENDS in technology scaling make leakage power an

TRENDS in technology scaling make leakage power an IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 3, MARCH 2006 423 Active Leakage Power Optimization for FPGAs Jason H. Anderson, Student Member, IEEE, and Farid

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS Charlie Jenkins, (Altera Corporation San Jose, California, USA; chjenkin@altera.com) Paul Ekas, (Altera Corporation San Jose, California, USA; pekas@altera.com)

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

A Dual-V DD Low Power FPGA Architecture

A Dual-V DD Low Power FPGA Architecture A Dual-V DD Low Power FPGA Architecture A. Gayasen 1, K. Lee 1, N. Vijaykrishnan 1, M. Kandemir 1, M.J. Irwin 1, and T. Tuan 2 1 Dept. of Computer Science and Engineering Pennsylvania State University

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

BICMOS Technology and Fabrication

BICMOS Technology and Fabrication 12-1 BICMOS Technology and Fabrication 12-2 Combines Bipolar and CMOS transistors in a single integrated circuit By retaining benefits of bipolar and CMOS, BiCMOS is able to achieve VLSI circuits with

More information

Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques

Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques Safeen Huda and Jason Anderson International Symposium on Physical Design Santa Rosa, CA, April 6, 2016 1 Motivation FPGA power increasingly

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Yet, many signal processing systems require both digital and analog circuits. To enable

Yet, many signal processing systems require both digital and analog circuits. To enable Introduction Field-Programmable Gate Arrays (FPGAs) have been a superb solution for rapid and reliable prototyping of digital logic systems at low cost for more than twenty years. Yet, many signal processing

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

ECE/CoE 0132: FETs and Gates

ECE/CoE 0132: FETs and Gates ECE/CoE 0132: FETs and Gates Kartik Mohanram September 6, 2017 1 Physical properties of gates Over the next 2 lectures, we will discuss some of the physical characteristics of integrated circuits. We will

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

Optimization and Modeling of FPGA Circuitry in Advanced Process Technology. Charles Chiasson

Optimization and Modeling of FPGA Circuitry in Advanced Process Technology. Charles Chiasson Optimization and Modeling of FPGA Circuitry in Advanced Process Technology by Charles Chiasson A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology CMOS Digital Logic Design with Verilog Chapter1 Digital IC Design &Technology Chapter Overview: In this chapter we study the concept of digital hardware design & technology. This chapter deals the standard

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

Sophisticated design of low power high speed full adder by using SR-CPL and Transmission Gate logic

Sophisticated design of low power high speed full adder by using SR-CPL and Transmission Gate logic Scientific Journal of Impact Factor(SJIF): 3.134 International Journal of Advance Engineering and Research Development Volume 2,Issue 3, March -2015 e-issn(o): 2348-4470 p-issn(p): 2348-6406 Sophisticated

More information

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers Accurate Timing and Power Characterization of Static Single-Track Full-Buffers By Rahul Rithe Department of Electronics & Electrical Communication Engineering Indian Institute of Technology Kharagpur,

More information

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 6 Combinational CMOS Circuit and Logic Design Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Advanced Reliable Systems (ARES) Lab. Jin-Fu Li,

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures

Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures Muhammad Umar Karim Khan Smart Sensor Architecture Lab, KAIST Daejeon, South Korea umar@kaist.ac.kr Chong Min Kyung Smart

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

LOW LEAKAGE CNTFET FULL ADDERS

LOW LEAKAGE CNTFET FULL ADDERS LOW LEAKAGE CNTFET FULL ADDERS Rajendra Prasad Somineni srprasad447@gmail.com Y Padma Sai S Naga Leela Abstract As the technology scales down to 32nm or below, the leakage power starts dominating the total

More information

SHOULD FPGAS ABANDON THE PASS-GATE? Charles Chiasson and Vaughn Betz

SHOULD FPGAS ABANDON THE PASS-GATE? Charles Chiasson and Vaughn Betz SHOULD FPGAS ABANDON THE PASS-GATE? Charles Chiasson and Vaughn Betz Department of Electrical and Computer Engineering University of Toronto, Toronto, ON, Canada {charlesc,vaughn}@eecg.utoronto.ca ABSTRACT

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator A. T. Fathima Thuslim Department of Electronics and communication Engineering St. Peters University, Avadi, Chennai, India Abstract: Single

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R R 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

FIELD-PROGRAMMABLE gate array (FPGA) chips

FIELD-PROGRAMMABLE gate array (FPGA) chips IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 54, NO. 11, NOVEMBER 2007 2489 3-D nfpga: A Reconfigurable Architecture for 3-D CMOS/Nanomaterial Hybrid Digital Circuits Chen Dong, Deming

More information

Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique

Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique Ch. Mohammad Arif 1, J. Syamuel John 2 M. Tech student, Department of Electronics Engineering, VR Siddhartha Engineering College,

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies Mar 12, 2013 John Wawrzynek Spring 2013 EECS150 - Lec15-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies Feb 14, 2012 John Wawrzynek Spring 2012 EECS150 - Lec09-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1

Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1 Dynamic Logic Dynamic Circuits will be introduced and their performance in terms of power, area, delay, energy and AT 2 will be reviewed. We will review the following logic families: Domino logic P-E logic

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407 Index A Accuracy active resistor structures, 46, 323, 328, 329, 341, 344, 360 computational circuits, 171 differential amplifiers, 30, 31 exponential circuits, 285, 291, 292 multifunctional structures,

More information

CMOS circuits and technology limits

CMOS circuits and technology limits Section I CMOS circuits and technology limits 1 Energy efficiency limits of digital circuits based on CMOS transistors Elad Alon 1.1 Overview Over the past several decades, CMOS (complementary metal oxide

More information

LOW-POWER HYBRID TFET-CMOS MEMORY. A Thesis. Submitted to the Faculty. Purdue University. Anoop Gopinath. In Partial Fulfillment of the

LOW-POWER HYBRID TFET-CMOS MEMORY. A Thesis. Submitted to the Faculty. Purdue University. Anoop Gopinath. In Partial Fulfillment of the LOW-POWER HYBRID TFET-CMOS MEMORY A Thesis Submitted to the Faculty of Purdue University by Anoop Gopinath In Partial Fulfillment of the Requirements for the Degree of Master of Science in Electrical and

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

An Overview of Static Power Dissipation

An Overview of Static Power Dissipation An Overview of Static Power Dissipation Jayanth Srinivasan 1 Introduction Power consumption is an increasingly important issue in general purpose processors, particularly in the mobile computing segment.

More information

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Towards PVT-Tolerant Glitch-Free Operation in FPGAs Towards PVT-Tolerant Glitch-Free Operation in FPGAs Safeen Huda and Jason H. Anderson ECE Department, University of Toronto, Canada 24 th ACM/SIGDA International Symposium on FPGAs February 22, 2016 Motivation

More information

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Mr. Y.Satish Kumar M.tech Student, Siddhartha Institute of Technology & Sciences. Mr. G.Srinivas, M.Tech Associate

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

An energy efficient full adder cell for low voltage

An energy efficient full adder cell for low voltage An energy efficient full adder cell for low voltage Keivan Navi 1a), Mehrdad Maeen 2, and Omid Hashemipour 1 1 Faculty of Electrical and Computer Engineering of Shahid Beheshti University, GC, Tehran,

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Evaluation of Low-Leakage Design Techniques for Field Programmable Gate Arrays

Evaluation of Low-Leakage Design Techniques for Field Programmable Gate Arrays Evaluation of Low-Leakage Design Techniques for Field Programmable Gate Arrays Arifur Rahman and Vijay Polavarapuv Department of Electrical and Computer Engineering, Polytechnic University, Brooklyn, NY

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Microelectronics and Solid State Electronics 2013, 2(2): 24-28 DOI: 10.5923/j.msse.20130202.02 Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Keerti Kumar. K

More information

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2

Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse 1 K.Bala. 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 07, 2015 ISSN (online): 2321-0613 Design and Implementation of High Speed Carry Select Adder Korrapatti Mohammed Ghouse

More information

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1 Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1. Introduction 2. Metal Oxide Semiconductor (MOS) logic 2.1. Enhancement and depletion mode 2.2. NMOS and PMOS inverter

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies Oct. 31, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

NanoFabrics: : Spatial Computing Using Molecular Electronics

NanoFabrics: : Spatial Computing Using Molecular Electronics NanoFabrics: : Spatial Computing Using Molecular Electronics Seth Copen Goldstein and Mihai Budiu Computer Architecture, 2001. Proceedings. 28th Annual International Symposium on 30 June-4 4 July 2001

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

Highly Efficient Ultra-Compact Isolated DC-DC Converter with Fully Integrated Active Clamping H-Bridge and Synchronous Rectifier

Highly Efficient Ultra-Compact Isolated DC-DC Converter with Fully Integrated Active Clamping H-Bridge and Synchronous Rectifier Highly Efficient Ultra-Compact Isolated DC-DC Converter with Fully Integrated Active Clamping H-Bridge and Synchronous Rectifier JAN DOUTRELOIGNE Center for Microsystems Technology (CMST) Ghent University

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL 1 PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL Pradeep Patel Instrumentation and Control Department Prof. Deepali Shah Instrumentation and Control Department L. D. College

More information

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment 1014 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 7, JULY 2005 Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment Dongwoo Lee, Student

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

SRAM SYSTEM DESIGN FOR MEMORY BASED COMPUTING

SRAM SYSTEM DESIGN FOR MEMORY BASED COMPUTING SRAM SYSTEM DESIGN FOR MEMORY BASED COMPUTING A Thesis Presented to The Academic Faculty by Muneeb Zia In Partial Fulfillment of the Requirements for the Degree Masters in the School of Electrical and

More information

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #8: Leakage Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: Low Power Interconnect Finish Lecture 7 Leakage Mechanisms Circuit Styles for Low Leakage

More information