Boosted Voltage Scheme with Active Body-Biasing Control on PD-SOI for Ultra Low Voltage Operation

Size: px
Start display at page:

Download "Boosted Voltage Scheme with Active Body-Biasing Control on PD-SOI for Ultra Low Voltage Operation"

Transcription

1 666 PAPER Special Section on Low-Power, High-Speed LSIs and Related Technologies Boosted Voltage Scheme with Active Body-Biasing Control on PD-SOI for Ultra Low Voltage Operation Masaaki IIJIMA, Masayuki KITAMURA, Student Members, Masahiro NUMA a),akiratada, Members, Takashi IPPOSHI, and Shigeto MAEGAWA, Nonmembers SUMMARY In this paper, we propose an Active Body-biasing Controlled (ABC)-Bootstrap PTL (Pass-Transistor Logic) on PD-SOI for ultra low power design. Although simply lowering the supply voltage (V DD ) causes a lack of driving power, our boosted voltage scheme employing a strong capacitive coupling with ABC-SOI improves a driving power and allows lower voltage operation. We also present an SOI-SRAM design boosting the word line (WL) voltage higher than V DD in short transition time without dual power supply rails. Simulation results have shown improvement in both the delay time and power consumption. key words: low power design, PD-SOI, body-bias, pass-transistor logic, circuit simulation, SRAM 1. Introduction In order to pursue ultra low power operation, lowering the supply voltage (V DD ) has been one of the most effective approaches since the dynamic power consumption drops in proportion to the square of V DD. Lowering the V DD,however, causes serious performance degradation. In addition, simply scaling the threshold voltage (V th ) down to improve the operational speed as the lowered V DD results in a drastic increase in the leakage power. Then, we focus on the ABC (Active Body-biasing Control) technique for PD-SOI, where the threshold voltage of each transistor can be dynamically controlled by providing a body-bias through the body contact. In fact, PD-SOI has been requiring the T-type or H-type gate configuration for V th control so far, which causes an increase of parasitic gate capacitance and area penalty. On the other hand, the HTI (Hybrid Trench Isolation) technology [1], [2] has brought a breakthrough in V th control employing the direct body contact as shown in Fig. 1, where the area penalty and parasitic gate capacitance are drastically reduced to almost the same level as bulk MOSFETs. We address a novel low power technique with ABC- SOI to solve the issue of speed degradation even in such low voltage as 0.5 V-V DD operation. The rest of this paper is organized as follows. Section 2 discusses the Pass-Transistor Logic and its probrem in low voltage operation. Then, we propose an ABC-Bootstrap PTL and apply it to logic circuits in Sect. 3. Section 4 also presents an SOI-SRAM with boosted voltage scheme. Section 5 provides the circuit simulation results. Finally, we summarizes the key results in Sect Pass-Transistor Logic with Lower V DD The Pass-Transistor Logic (PTL), such as LEAP (LEAn integration with Pass-transistors) [3], is suitable for low power design since PTL circuits are generally synthesized with fewer transistors than CMOS logic. In addition, the lower parasitic junction capacitance between the silicon substrate and source/drain in the SOI process contributes to higher operational speed for PTL circuits, where plural nmosfets are connected in series. The single-rail type pass-transistor logic using nmos has a disadvantage of voltage loss at highlevel output. Even though the output voltage V o is expected to be V o = V DD, it actually rises only up to V o = (V DD V th ), which causes an increase in the short circuit current and degradation of driving power. The use of Bootstrap PTL [4] at low supply voltage has been discussed to overcome the issue of speed degradation and output voltage loss. The Booststrap configuration is reportedly superior to CMOS-TG circuits from the point of both the speed and power consumption even in sub-1 V operation [4]. The feature of Bootstrap PTL is that the drain voltage of nmos pass-transistor rises up to V DD without the pull-up pmos by boosting the gate voltage up higher than V DD. In a Bootstrap PTL configuration, it consists of two nmoss T1 and T2 as shown in Fig. 2(a). Here, T1 propagates data as a pass-transistor, and T2, called the isolation transistor, activates the capacitive coupling in T1 between the gate and source/drain, so that the gate voltage of T1 rises up higher than V DD. The capacitances C GS and C GD between the gate and source/drain of T1 help to boost the gate voltage during a pull-up transition as shown in Fig. 3. The con- Manuscript received August 10, Manuscript revised November 10, The authors are with the Graduate School of Science and Technology, Kobe University, Kobe-shi, Japan. The authors are with Renesas Technology Corp., Itami-shi, Japan. a) numa@kobe-u.ac.jp DOI: /ietele/e90 c Fig. 1 Schematic diagram of ABC-SOI MOSFET. Copyright c 2007 The Institute of Electronics, Information and Communication Engineers

2 IIJIMA et al.: BOOSTED VOLTAGE SCHEME WITH ABC-SOI 667 ventional Bootstrap PTL, however, still has difficulty in an operation due to a lack of driving power especially in the case pass-transistors are connected long in series. 3. ABC-Bootstrap PTL and Its Application We propose an ABC-Bootstrap PTL shown in Fig. 2(b) to improve the conventional Bootstrap PTL from the point of the speed and driving power [5]. Here, the body voltages of these nmoss can be controlled through the direct body contact, as already shown in Fig. 1, which originally connects the body and ground in the same way as the well contact in bulk process. Since the body contacts are connected to the gate or source instead of the ground, we can avoid any area penalty. The features of the ABC-Bootstrap PTL employing two kinds of body-biases are the following two points: i) Reduce the voltage loss at the isolation transistor. ii) Make use of the body capacitance C body between the source/drain and body to boost the gate voltage higher. First, the body-bias indicated by (i) in Fig. 2(b) allows the gate voltage (V gate ) rise up to the higher level as shown in Fig. 3 owing to a lowerd threshold voltage of the isolation transistor. Second, the body-bias at the pass-transistor represented by (ii) in Fig. 2(b) enhances a boosting effect of the gate voltage as shown in Fig. 3 due to the body capacitance C body which consists of the capacitances between the source/drain and body (C S-body, C D-body). In the conventional approach, only the overlap capacitances between the gate and source/drain (C GS, C GD ) were the main factor for boosting the gate voltage up. On the other hand, the body capacitance C body also affects a boost of the gate voltage in the ABC-Bootstrap PTL. In addition, the higher V gate in our approach than the conventional one strongly accelerate the pull-up transition since the V th of the pass-transistor is much lowered by the higher V gate. 3.1 Boosting Effect of the Gate Voltage Here, we discuss the boosting effect of the gate voltage (V gate ) based on a capacitance model shown in Fig. 4. In the conventional approach, the capacitive coupling boosts the V gate up to where V gate = ( 1 + C GS + C GD C ptr + C iso + C wire ) V DD V th(iso), (1) C ptr = C GS + C GD + C GB, (2) C iso = C GD(iso) + C D-body(iso). (3) Here, V th(iso) denotes the threshold voltage of the isolation transistor. Thus, the strength of capacitive coupling depends upon the ratio of (C GS + C GD ) to the total capacitance. In the ABC-Bootstrap PTL, the V gate rises up to where V gate = 1 + C GS + C GD + C body C ptr + C iso + C wire V DD V th(iso), (4) C body = C S-body + C D-body, (5) C ptr = C GS + C GD + C body. (6) The additional capacitances (C S-body, C D-body) enable the V gate to rise higher than the conventional approach. Here, we discuss the value of capacitance and estimate the boosting effect through the SPICE simulation with a BSIM-SOI transitor model. We have calculated the capacitance between the channels and the overlap capacitance under the condition that the precharge operation is completed. The results shows that C ptr = 2.5 ff, C body = 1.0 ff, C iso = 0.35 ff, and C wire = 0.67 ff in the ABC-Bootstrap PTL when the gate width of the pass-transistor W ptr = 2.0 µm andthat of the isolation transistor W iso = 0.5 µm. Hence, the capacitive coupling can boost the gate voltage up to 0.69 V if we assume that V DD = 0.5 V and V th = 0.2 V. (a) Bootstrap structure (conventional). (b) ABC-Bootstrap (proposed). Fig. 2 Bootstrap PTL. Fig. 3 The gate voltage in ABC-Bootstrap PTL. (a) Bootstrap PTL (conv.). (b) ABC-Bootstrap PTL (prop.). Fig. 4 Capacitance model.

3 Application of ABC-Bootstrap PTL The ABC-Bootstrap PTL has been implemented on two kinds of circuits. One is a two-input XOR as shown in Fig. 5. The XOR gate consists of two bootstrap-type pass-transistor units. A classical nmos-type PTL logic and ABC-nMOS PTL have also been applied to an XOR gate. Here, the body voltages of nmoss and pmoss in the conventional approaches are tied to the ground and V DD, respectively. The other application is a MCC (Manchester Carry Chain) adder [6] which is widely known for its fast propagation of carry signal. The MCC adder with the ABC-Bootstrap PTL shown in Fig. 6 is expected to be an appropriate application for our approach since the pass-transitor has enough time to complete the precharge operation before the souce signal begins to rise. The generation signal g i, kill signal k i, and propagation signal p i are first determined by the input signals a i and b i with each bit i as g i = a i b i, k i = ā i b i, and p i = a i b i. Then, a carry signal C i+1 from bit i is calculated as C i+1 = k i (g i + C i p i ). Here, the p i is obtained as soon as input signals come in even though the C i is derived from the carry signal propagating serially over all bits. Therefore, the difference in arrival time between the gate and source signals in the pass-transistor surely enables to finish the precharge operation and accelerates the carry (a) nmos PTL. (b) ABC-nMOS PTL. propagation by the boosted V gate. 4. SOI-SRAM with Boosted Voltage Scheme The difficulty of SRAMs operating at low supply voltage comes from the degradation of the access speed due to a lack of driving current. This is based on the fact that the transistor delay increases exponentially as the lowered supply voltage. The other obstacles to low voltage operation include instability of SRAM cells such as an increase in softerror rate (SER) and reduction in static-noise-margin (SNM) [7]. Although the conventional approach boosting the supply voltage in memory cells improves the deteriorated SNM [8], it cannot avoid the issue of exponential increase in the read/write access time in low voltage operation. The use of 8T-SRAM [9] with a separated read-port as shown in Fig. 7 has been discussed to enhance the stability by eliminating cell disturbs during a read access even when V DD is scaled down to sub-1 V. However, additional transistors M1 and M2 unfortunately deteriorate the write access time for 8T- SRAM as lowered supply voltage. Hence, it is important to accelerate the read/write access time even in low voltage operation while maintaining the SNM. Then, boosting the word line voltages of access transistors for larger on-current is a key idea to accelerate the read/write speed, which suppresses the speed degradation in low voltage operation. In SRAM memory cells, bit lines and a cross-coupled inverter latch are connected each other through access transistors while the word line activates the access transistors. Then, the read/write operation can be performed by draining on-current at the access transistors. Thus, enhancing the current from bit lines to memory cells helps shorten the delay time in the write mode. In addition, an increase in the discharge current from the precharged bit line is also effective for fast read operation. Therefore, boosting the word line voltage is expected to shorten both the write and read access time. In this section, we describe a boosted voltage scheme employing a capacitive coupling by an ABC-SOI capacitor. 4.1 ABC-SOI Capacitor (c) Bootstrap PTL. (d) ABC-Bootstrap PTL (proposed). Fig. 5 Configuration of XOR gate. The Active Body-biasing Controlled (ABC)-SOI capacitor utilizing the PD-SOI process with the Hybrid Trench Isolation technology [1], [2] provides a strong capacitive coupling. Fig. 6 PTL. 4-bit Manchester carry chain type adder with the ABC-Bootstrap Fig. 7 8T-SRAM memory cell.

4 IIJIMA et al.: BOOSTED VOLTAGE SCHEME WITH ABC-SOI 669 The strength of capacitive coupling is dependent upon a transistor size and gate voltage. For an nmos FET with thegatelengthl = 0.18 µm, we have calculated the capacitance between the gate and source/drain when the gate voltage changes from 0.3 V to 0.6 V. According to the SPICE simulation results shown in Fig. 8, the capacitance is proportional to the gate voltage since the capacitances in the channel of transistor increases in accordance with the gate voltage while the overlap capacitance becomes a constant value. In addition, even though the capacitance in body-tied SOI mainly derives from the overlap capacitances between the gate and source/drain (C GS, C GD ), the ABC-SOI capacitor, where the body is directly connected to the gate, provides the enhanced capacitance owing to the additional capacitances (C S-body, C D-body) between the source/drain and body. We take the advantage of strong capacitive coupling by the ABC-SOI. 4.2 WL Driver with ABC-SOI Capacitor The proposed approach, boosted voltage scheme with the ABC-SOI capacitor, is shown in Fig. 9. We describe the features in detail. First, during a low to high transition of the word line, the pmos in the transmission gate helps finish the pull-up transition perfectly since the pmos keeps on-state until the input signal WL via delay circuits cuts off the pmos. Thus, the word line voltage V WL is allowed to rise up to V DD immediately. Second, the capacitance C BS of the boost transistor starts to boost V WL higher than V DD as soon as the pmos turns off in the driver circuit with the single boost transistor shown in Fig. 9(a). In addition, the driver with double stages of the boost transistor, shown in Fig. 9(b), divides the capacitance C BS into two boost transistors. The second stage of the boost transistor driven by the second delay circuit prevents V WL from dropping when V WL is boosted by the first stage of the boost transistor. In Fig. 9(a), V WL actually drops a little due to the current passing through the pmos before turning off perfectly. Hence, employing double stages of the boost transistor enhances the capacitive coupling and ensures boosting V WL higher than V DD. The higher gate voltage results in an enhanced on-current of the access transistors. 4.3 Boosting Effect of Word Line Voltage Here, we discuss the boosting effect of the word line voltage. In fact, the capacitive coupling by the boost transistors dominates the maximum voltage level of the word line, which boosts the word line voltage V WL up to V WL = ( 1 + C BS C total ) V DD, (7) where C BS denotes the capacitance between the gate and source/drain of the boost transistors, and C total denotes the total capacitance including the wire capacitance of the word line C WL. Thus, the strength of capacitive coupling depends upon the ratio of C BS to C total. Here, C BS is proportional to the gate width W BS of the boost transistor, and C WL is proportional to the length of the word line, thus, the number of memory cells in each row. Then, Fig. 10 shows the ratio of the word line voltage V WL to V DD (V WL /V DD ) calculated by Eq. (1) when the number of memory cells arrayed in each row varies. For simplicity, we treat C total as C total = C BS + C WL. The capacitance of the boost transistors for capacitive coupling has been set to C BS = 14 ff, 28 ff when the gate width W BS = 10 µm, 20 µm, respectively. We have assumed that the wire capacitance of the word line per memory cell is C WL = 1.25 ff/cell, where we assume that the capacitance Fig. 8 Capacitance of boost transistor. Fig. 10 Boosting effect of the word line voltage. (a) Driver with single boost transistor. (b) Driver with double stages of boost transistor. Fig. 9 Boosted word line voltage scheme with ABC-SOI capacitor.

5 670 in the read port is twice as large as that in the write port. In Fig. 10, in the case memory cells of 32-bit are arrayed in each row, for example, the ratio V WL /V DD = 1.4 when the gate width W BS = 20 µm, while the maximum voltage level of the word line decreases as the number of bit increases. Hence, an adequate ratio of V WL /V DD ensures low voltage operation and shortens the access time. 5. Simulationl Results 5.1 Simulation Setup We have performed SPICE simulation with BSIM-SOI transistor model for 0.18 µm PD-SOI process in order to compare our approach with the conventional body-tied SOI. The threshold voltages with nmos and pmos are set to V th-n = 0.24 V, V th-p = 0.34 V, respectively. We define these threshold voltages V th-n, V th-p as the gate voltage which makes the drain current I ds per width W to be 1 µa/µm when the drain voltage V ds is set to 1.8 V. We have calculated them based on the I d -V g characteristics as shown in Fig. 11. First, we have evaluated the ABC-Bootstrap PTL with respect to the critical path delay, active power, and leakage power at 0.5 V and room temperature for an XOR gate and MCC adder. The delay time represents the period from the point of V DD /2 in input signals to that of V DD /2 in output signals under the condition that buffer gates are inserted to before all inputs and after output terminals. Second, we have also calculated the access time of a 6T-SRAM memory cell in the write and read operation modes for the supply voltage from 0.35 V to 0.6 V in order to evaluate an impact of the boosted voltage scheme on the access time. The threshold voltages of memory cells are set to V th-n = 0.34 V, V th-p = 0.42 V. The boosted voltage scheme has been compared to the SRAM configuration with the normal word line driver. Regarding the application of our technique, we extracted the resistance and capacitance of the wire after designing the cell layout. We have decided that the channel widths of the pass-transistors in an XOR gate and MCC adder are 2.0 µm and 1.0 µm, respectively, and that of the isolation transistor is 0.5µm. The transis- tor sizes of memory cell are set to W = 0.5 µm. We have also determined the gate width of W = 5 µm for the word line drivers and transmission gate and that of W = 10 µmfor the boost transistors. With respect to the whole layout area of 8 Kb memory array, for example, our boosted voltage scheme has an area overhead of 10% due to the additional area of word line drivers. Here, the use of ABC-SOI capacitor in word line drivers reduces 1% of the area of memory array with the body-tied SOI capacitor. On the other hand, if we consider a boosted voltage scheme employing a charge pump circuit, the ratio of area overhead decreases for memories with larger capacity. For instance, the area overhead of the charge pump circuit with decoupling capacitors can be reduced to 5% at most in the case of memories with a capacity of 16 Mb. However, the charge pump circuit requires an additional design methodology for placing appropriate decoupling capacitors in order to stabilize the boosted voltage. In addition, even if we insert the decoupling capacitance which is 10 times as large as the boosted capacitance, a bounce of 10% in the power rail still remains. In contrast to the charge pump circuit, our approach does not need any decoupling capacitors even for memories with larger capacity as long as the number of memory cells in each row is constant, since we boost only the selected single word line. 5.2 Results for XOR Gate Table 1 shows the comparison with the results for an XOR gate between the conventional Bootstrap PTL and the ABC- Bootstrap PTL. We have confirmed the result that the ABC- Bootstrap PTL shortens the delay time by 52% compared with the conventional approach. Even though the number of pass-transistor stages in a 2-input XOR gate is only one, the body-biasing control seems enough to accelerate the speed of data propagation and to shorten the delay time. With respect to the power consumption, both the dynamic and standby leakage power dissipated at almost the same power in spite of extra power dissipation for charging body voltage in the ABC-Bootstrap. This is because a quick transition of the drain voltage of the pass-transistor effectively affects a reduction in the short-circuit power. Then, we consider the correlation between delay and standby leakage power. Although lowering the V th generally attains higher operational speed, it also causes an increase in the standby leakage power at the same time. In Fig. 12, we characterize the trade-off relation between the delay and standby leakage power when the V th changes from 240 mv to 310 mv in 10 mv step. According to the SPICE simulation result, all circuit configurations dissipated at almost the same standby Fig. 11 I d -V g characteristics of BSIM-SOI model. Table 1 Evaluation for XOR gate. item Bootstrap ABC-Bootstrap (conv.) (prop.) Delay [ns] (0.48) Active Power [nw] (0.99) Standby Power [nw] (0.96) ( ): prop./conv.

6 IIJIMA et al.: BOOSTED VOLTAGE SCHEME WITH ABC-SOI 671 leakage power as long as the V th is constant. In terms of the delay time, it increases exponetially as the V th rises. For example, the delay time in the conventional Bootstrap PTL becomes 3.3x compared to the nmos PTL when V th = 310 mv even though the Bootstrap PTL operates faster when V th = 240 mv. On the other hand, the ABC-Bootstrap PTL shows only a half delay time of the ABC-nMOS PTL even when V th = 310 mv. 5.3 Results for 4-Bit MCC Adder We discuss an operation of the pass-transistor in the MCC adder in terms of the waveforms shown in Fig. 13. Figure 13(a) illustrates the waveform of the gate and drain signals at the first stage of pass-transistor, and Fig. 13(b) shows those at the fourth stage of pass-transistor. Both the gate voltages in the conventional Bootstrap PTL and the ABC-Bootstrap PTL rise up higher than V DD as shown in Fig. 13(a). Here, the drain voltage of proposed technique, represented by a carry signal C 1, is going up to V DD quickly. According to Fig. 13(b), in the case of the fourth stage of pass-transistor, the drain voltage in the conventional approach shows the longer transition time and is not able to reach to V DD. The transition time of the drain signal during a low to high transition becomes longer as the signal propagates serially over the pass-transistors, which degrades the boosting effect of the gate voltage especially at the final stage of pass-transistor. On the other hand, the drain voltage even at the fourth stage of pass-transistor, denoted by a carry signal C 4, reaches to the vicinity of V DD in a shorter transition time in the ABC-Bootstrap PTL owing to a strong boosting effect by the capacitive coupling and lowering the V th. 5.4 Power Saving Effect Here, we discuss the power saving effect owing to shortening the delay time by the ABC-Bootstrap PTL. We compare the power consumption of both the conventional Bootstrap PTL and ABC-Bootstrap PTL after adjusting the proper supply voltages in order to achieve the same operational speed. First, we assume the delay time in the ABC-Bootstrap PTL at 0.5 V-V DD as the standard. Then the supply voltage can be scaled up until the conventional Bootstrap PTL operates at the same speed as the ABC-Bootstrap PTL. As shown in Table 2, the proper supply voltage for the conventional Bootstrap PTL to obtain the same speed are V DD = 0.56 V, V DD = 0.63 V for the XOR gate and 4-bit MCC Adder, respectively. The higher supply voltage is required in the case of 4-bit MCC Adder. At these proper supply voltages, we have evaluated the power consumption of XOR gate and 4- bit MCC Adder. According to Table 2, both the active and standby leakage power have been decreased effectively. The ABC-Bootstrap PTL reduces the active power by 21% for XOR gate, and 40% for 4-bit MCC Adder. Moreover, the standby leakage power has also been saved by 19%, 36%, respectively. Figure 14 also shows the active and leakage power consumption of the MCC adder in different temperature Fig. 12 Variation of delay and standby power for several V th. Table 2 Power saving effect by the ABC-Bootstrap PTL. Delay Active Standby circuit V DD [ns] Power [nw] Power [nw] XOR conv V prop V (0.79) 0.82 (0.81) MCC conv V Adder prop V (0.60) 8.14 (0.64) (): prop./conv. (a) At the 1st pass-transistor. (b) At the 4th pass-transistor. Fig. 13 Comparison based on waveform for MCC adder.

7 672 conditions. ABC-CMOS circuit includes the CMOS-TG type carry propagation block employing the active bodybias through the gate to body connection. The supply voltage is set to 0.5 V for ABC-CMOS and our approach. In the conventional approach, the supply voltage is set to 0.63 V, where we assume that all approches operate at the same speed. The area of ABC-Bootstrap PTL is reduced by 26% compared to ABC-CMOS in terms of total gate widths. Although the leakage power increases exponentially due to the subthreshold leakage current in accordance with the temperature, our ABC-Bootstrap PTL results in the lowest power consumption owing to the following reasons. The leakage power consumption at high temperature mainly depends on the sub-threshold leakage current due to the lowered threshold voltage rather than the PN junction current caused by the forward body-bias. The actual body voltage at forward bias in the proposed scheme is limited to 0.42 V even when the supply voltage is 0.5 V, which is derived from the voltage loss at the high-level output of the isolation transistor. In addition, the leakage power consumption of the forward body-bias applied for pass-transistors occupies only 3% of the total leakage power consumption. 5.5 Access Times of SRAM in Write/Read Mode First, we discuss the write operation speed to memory cells based on the results shown in Fig. 15. We also consider the waveforms of the clock CK, word line WL, and dataretention node in Fig. 16(a). Here, we define the write time as the period from the point of V DD /2inCKduringalow to high transition to that in the date retention node of memory cells during the data inverting operation. We reduces the write time by 10% and 38% at V DD = 0.5 V and V DD = 0.35 V, respectively. The data retention node of memory cell in our approach completes charging quickly owing to the boosted word line voltage as shown in Fig. 16(a). Here, the ratio of the word line voltage V WL to V DD in Fig. 16 becomes V WL /V DD = 1.25 that approximates the value calculated by Eq. (1) as shown in Fig. 10. Next, we show the simulation results with the read time. We also consider the waveforms of the clock CK, word line WL, bit line BL, and output data signal from sense amplifier BL out as illustrated in Fig. 16(b). Here, we define the read time as the period from the point of V DD /2in CK during a low to high transition to that in BL out, while the sense amplifier detects the difference of bit line voltages between BL and BLB and outputs the data stored in memory cells. According to Fig. 15, the lower supply voltage increases the read time exponentially. Our proposed approach shortens the read time by 15% at V DD = 0.5 V and 30% at V DD = 0.35 V compared to the conventional ap- Fig. 14 Power consumption vs. temperature. Fig. 15 SRAM access time. (a) Write mode. (b) Read mode. Fig. 16 Waveforms.

8 IIJIMA et al.: BOOSTED VOLTAGE SCHEME WITH ABC-SOI 673 proach. Since the read time is dependent on the discharging speed of the bit line, enhancing the on-current of the access transistors by the boosted word line voltage higher than V DD achieves quick discharging operation of the bit line as shown in Fig. 16(b). As a result, the bit line voltage in the proposed approach drops in shorter transition time than the conventional one. Therefore, the output data in the proposed approach appears first by the quick response of the sense amplifier. 5.6 V th Dependence of Access Time Here, we analyze the dependence of access time derived from a variation in the threshold voltage (V th ). In the low voltage operation such as 0.5 V-V DD, transistors come into the linear or sub-threshold operation since the supply voltage almost reaches the threshold voltage. Especially for SRAM memory cells, their performance is directly dependent upon the on current of access transistors which varies due to V th variation. Then, we have evaluated the difference in access time derived from V th variation between the conventional approach and proposed one through SPICE simulation. We have decided that the mean of the threshold voltage V th0 = 346 mv and assume a variation of ±10% as the best and worst cases. Figure 17 shows the access time of memory cell when V th0 changes from 0.9V th0 to 1.1V th0. With respect to the difference in access time between the fastest and slowest cases, the maximum difference results in 2.54x in the read mode for the conventional approach. On the other hand, the difference is suppressed down to 1.99x in our approach since the enhanced on current of access transistors by the boosted gate voltage avoids a larger impact of V th variation. The difference in write time is likewise reduced from 1.53x to 1.21x. Therefore, a smaller variation in the access time due to V th variation is expected in our approach. 6. Conclusion In this paper, we have proposed an ABC (Active Bodybiasing Controlled)-Bootstrap PTL (Pass-Transistor Logic) with PD-SOI in order to achieve high-speed operation even at low supply voltage. Our boosted voltage scheme employing a strong capacitive coupling with ABC-SOI utilizes the body capacitance C body between the source/drain and body. We have applied our proposed technique to an XOR gate and 4-bit MCC (Manchester Carry Chain) adder and designed an SOI-SRAM, where the boosted word line voltage enhances the on-current of the access transistors and shortens the access time. The proposed scheme seems to be appropriate for such memory cell as 8T-SRAM which improves the deteriorated SNM in low voltage operation. According to the SPICE simulation results, we confirm that the ABC- Bootstrap PTL improves the speed by 52% in the XOR gate. In addition, our technique has succeeded in the operation of no less than 4 pass-transistors connected in series at 0.5 V- V DD. Moreover, the ABC-Bootstrap PTL achieves 40% of the power consumption in the 4-bit MCC Adder while maintaining the operational speed. Simulation results also have shown improvement in the access time of SRAM and operation in sub-1 V operation. References [1] Y. Hirano, T. Matsumoto, S. Maeda, T. Iwamatsu, T. Kunikiyo, K. Nii, K. Yamamoto, Y. Yamaguchi, T. Ipposhi, S. Maegawa, and M. Inuishi, Impact of 0.10 m SOI CMOS with body-tied hybrid trench isolation structure to break through the scaling crisis of silicon technology, IEDM Tech. Dig., pp , [2] Y. Hirano, T. Ipposhi, H. Dang, T. Matsumoto, T. Iwamatsu, K. Nii, Y. Tsukamoto, H. Kato, S. Maegawa, K. Arimoto, Y. Inoue, M. Inuishi, and Y. Ohji, Impact of actively body-bias controlled (ABC) SOI SRAM by using direct body contact technology for low-voltage application, IEDM, Tech. Dig., Dec [3] K. Yano, Y. Sasaki, K. Rikino, and K. Seki, Top-down pass-transistor logic design, IEEE J. Solid-State Circuits, vol.31, no.6, pp , June [4] K. Fujii and T. Douseki, A sub-1 V bootstrap pass-transistor logic, IEICE Trans. Electron., vol.e86-c, no.4, pp , April [5] M. Iijima, M. Kitamura, K. Hamada, K. Fukuoka, M. Numa, A. Tada, and S. Maegawa, Active body-biasing control technique for bootstrap pass-transistor logic on PD-SOI at 0.5 V-VDD, Proc IEEE International SOI Conference, pp.50 51, Oct [6] N.H.E. Weste and K. Eshraghian, Principles of CMOS VLSI Design, Addison-Wesley, [7] E. Seevinck, F.J. List, and J. Lohstroh, Static-noise margin analysis of MOS SRAM cells, IEEE J. Solid-State Circuits, vol.sc-22, no.5, pp , Oct [8] M. Yamaoka, K. Osada, and K. Ishibashi, 0.4-V logic library friendly SRAM array using rectangular-diffusion cell and delta-array-voltage scheme, 2002 Symposium on VLSI Circuits Digest of Technical Papers, pp , June [9] L. Chang, D.M. Fried, J. Hergenrother, J.W. Sleight, R.H. Dennard, R.K. Montoye, L. Sekaric, S.J. McNab, A.W. Topol, C.D. Adams, K.W. Geuarini, and W. Haensch, Stable SRAM cell design for the 32 nm node and beyond, 2005 Symposium on VLSI Technology Digest of Technical Papers, pp , June Fig. 17 V th dependence of access time.

9 674 Masaaki Iijima received the B.E. and M.E. degrees in Electrical and Electronics Engineering from Kobe University, Kobe Japan, in 2003, and 2005, respectively. Since 2005, he has been in Graduate School of Science and Technology, Kobe University, and is currently a doctoral candidate. His research interest includes low power and high speed circuits design. He is a member of the IEEE and IPSJ. Masayuki Kitamura received the B.E. degree in Electrical and Electronics Engineering from Kobe University, Kobe Japan in He is currently a master course student in Graduate School of Science and Technology, Kobe University. His research interest includes low power circuit design for SOI LSIs. He is a member of the IEEE. Shigeto Maegawa received the B.S., M.S., and Ph.D. degrees in electronic engineering from Kobe University, Kobe, Japan in 1980, 1982, and 1996, respectively. He joined the Mitsubishi Electric Corporation in 1982, and now, work with Renesas Technology Corp. He was engaged in the R&D of dopants diffusion technology, CCD imaging devices, advanced SRAMs and SOI devices. Currently, he is in charge of the department in R&D of yield analyzing technology. Dr. Maegawa received the 2000 R&D 100 Award for the development of the SOI communication LSI. He is a member of the Japan Society of Applied Physics. Masahiro Numa received the B.E., M.E., and Dr.Eng. degrees in Precision Engineering from the University of Tokyo, Japan, in 1983, 1985, and 1988, respectively. From 1986 to 1989, he was a Research Associate in the Department of Precision Engineering at the University of Tokyo, where he became a Lecturer in After moving to Kobe University in 1990, he became an Associate Professor of the Department of Electrical and Electronics Engineering in 1995, and a Professor in His research interests include VLSI CAD and design, and image processing. He is a member of the IEEE, ACM, IPSJ. Akira Tada received the B.E. and M.E. degrees in electrical and electronics engineering from Kobe University, Hyogo, Japan, in 1995 and 1997, respectively. In 1997 he joined Mitsubishi Electric Corporation, Hyogo, Japan. Since then, he has been engaged in the development of CAD for LSI design. Since 2003, he has been with Renesas Technology Corporation, Hyogo, Japan. He is a member of the IEEE and IPSJ. Takashi Ipposhi received the B.S., M.S. and Ph.D. degrees in material science from the University of Tsukuba, Ibaraki, Japan, in 1983, 1985 and 1988, respectively. In 1988, he joined the LSI Laboratory, Mitsubishi Electric Corporation. He moved his affiliation from Mitsubishi Electric Corporation to Renesas Technology Corp. in Currently, he is engaged in the research and development of SOI device/process technologies. Dr. Ipposhi is a member of the Japan Society of Applied Physics.

Low Power SRAM with Boost Driver Generating Pulsed Word Line Voltage for Sub-1V Operation

Low Power SRAM with Boost Driver Generating Pulsed Word Line Voltage for Sub-1V Operation 34 JOURNAL OF COMPUTERS, VOL. 3, NO. 5, MAY 2008 Low Power SRAM with Boost Driver Generating Pulsed Word Line Voltage for Sub-1V Operation Masaaki Iijima, Kayoko Seto, Masahiro Numa Kobe University 1-1

More information

Ultra Low Voltage Operation with Bootstrap Scheme for Single Power Supply SOI-SRAM

Ultra Low Voltage Operation with Bootstrap Scheme for Single Power Supply SOI-SRAM [ 2007 International Conference on VLSI Design ] Jan. 9, 2007 Ultra Low Voltage Operation with Bootstrap Scheme for Single Power Supply SOI-SRAM Masaaki Iijima, Masayuki Kitamura, Masahiro Numa, *Akira

More information

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. Abstract This paper presents a novel SRAM design for nanoscale CMOS. The new design addresses

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Domino CMOS Implementation of Power Optimized and High Performance CLA adder Domino CMOS Implementation of Power Optimized and High Performance CLA adder Kistipati Karthik Reddy 1, Jeeru Dinesh Reddy 2 1 PG Student, BMS College of Engineering, Bull temple Road, Bengaluru, India

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

SCALING power supply has become popular in lowpower

SCALING power supply has become popular in lowpower IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 1, JANUARY 2012 55 Design of a Subthreshold-Supply Bootstrapped CMOS Inverter Based on an Active Leakage-Current Reduction Technique

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS

CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS 87 CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS 6.1 INTRODUCTION In this approach, the four types of full adders conventional, 16T, 14T and 10T have been analyzed in terms of

More information

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Objectives In this lecture you will learn the following Ratioed Logic Pass Transistor Logic Dynamic Logic Circuits

More information

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Hendrawan Soeleman, Kaushik Roy, and Bipul Paul Purdue University Department of Electrical and Computer Engineering West Lafayette, IN 797, USA fsoeleman,

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF HIGH RELIABLE 6T SRAM CELL V.Vivekanand*, P.Aditya, P.Pavan Kumar * Electronics and Communication

More information

90% Write Power Saving SRAM Using Sense-Amplifying Memory Cell

90% Write Power Saving SRAM Using Sense-Amplifying Memory Cell 90% Write Power Saving SRAM Using Sense-Amplifying Memory Cell Kouichi Kanda 1, Hattori Sadaaki 2, and Takayasu Sakurai 3 1 Fujitsu Laboratories Ltd. 2 KDDI corporation 3 Institute of Industrial Science,

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) CSE 493/593 Test 2 Fall 2011 Solution 1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) Decreasing of W to make the gate slower,

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

UNIT-III GATE LEVEL DESIGN

UNIT-III GATE LEVEL DESIGN UNIT-III GATE LEVEL DESIGN LOGIC GATES AND OTHER COMPLEX GATES: Invert(nmos, cmos, Bicmos) NAND Gate(nmos, cmos, Bicmos) NOR Gate(nmos, cmos, Bicmos) The module (integrated circuit) is implemented in terms

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

ISSN:

ISSN: 343 Comparison of different design techniques of XOR & AND gate using EDA simulation tool RAZIA SULTANA 1, * JAGANNATH SAMANTA 1 M.TECH-STUDENT, ECE, Haldia Institute of Technology, Haldia, INDIA ECE,

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis

ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis Yasuhiko Sasaki Central Research Laboratory Hitachi, Ltd. Kokubunji, Tokyo, 185, Japan Kunihito Rikino Hitachi Device Engineering Kokubunji,

More information

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders 12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders Mr.Devanaboina Ramu, M.tech Dept. of Electronics and Communication Engineering Sri Vasavi Institute of

More information

Impact of Logic and Circuit Implementation on Full Adder Performance in 50-NM Technologies

Impact of Logic and Circuit Implementation on Full Adder Performance in 50-NM Technologies Impact of Logic and Circuit Implementation on Full Adder Performance in 50-NM Technologies Mahesh Yerragudi 1, Immanuel Phopakura 2 1 PG STUDENT, AVR & SVR Engineering College & Technology, Nandyal, AP,

More information

An energy efficient full adder cell for low voltage

An energy efficient full adder cell for low voltage An energy efficient full adder cell for low voltage Keivan Navi 1a), Mehrdad Maeen 2, and Omid Hashemipour 1 1 Faculty of Electrical and Computer Engineering of Shahid Beheshti University, GC, Tehran,

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

Comparison of Power Dissipation in inverter using SVL Techniques

Comparison of Power Dissipation in inverter using SVL Techniques Comparison of Power Dissipation in inverter using SVL Techniques K. Kalai Selvi Assistant Professor, Dept. of Electronics & Communication Engineering, Government College of Engineering, Tirunelveli, India

More information

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits Noise in Digital Integrated Circuits Lecture 4 The CMOS Inverter i(t) v(t) V DD Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail:

More information

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders B. Madhuri Dr.R. Prabhakar, M.Tech, Ph.D. bmadhusingh16@gmail.com rpr612@gmail.com M.Tech (VLSI&Embedded System Design) Vice

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R R 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Kyung Ki Kim a) and Yong-Bin Kim b) Department of Electrical and Computer Engineering, Northeastern University, Boston, MA

More information

STATIC cmos circuits are used for the vast majority of logic

STATIC cmos circuits are used for the vast majority of logic 176 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 64, NO. 2, FEBRUARY 2017 Design of Low-Power High-Performance 2 4 and 4 16 Mixed-Logic Line Decoders Dimitrios Balobas and Nikos Konofaos

More information

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 Asst. Professsor, Anurag group of institutions 2,3,4 UG scholar,

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage:

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Email:

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Anjana R 1, Dr. Ajay kumar somkuwar 2 1 Asst.Prof & ECE, Laxmi Institute of Technology, Gujarat 2 Professor

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 3 (Sep. Oct. 2013), PP 32-37 e-issn: 2319 4200, p-issn No. : 2319 4197 A Novel Dual Stack Sleep Technique for Reactivation Noise suppression

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Behnam Amelifard Department of EE-Systems University of Southern California Los Angeles, CA (213)

More information

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology Research Paper American Journal of Engineering Research (AJER) e-issn : 2320-0847 p-issn : 2320-0936 Volume-3, Issue-9, pp-15-19 www.ajer.org Open Access Design of a Low Voltage low Power Double tail comparator

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors Contemporary Engineering Sciences, Vol. 6, 2013, no. 6, 273-284 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2013.3632 Study of Pattern Area of Logic Circuit with Tunneling Field-Effect

More information

Electronics Basic CMOS digital circuits

Electronics Basic CMOS digital circuits Electronics Basic CMOS digital circuits Prof. Márta Rencz, Gábor Takács, Dr. György Bognár, Dr. Péter G. Szabó BME DED October 21, 2014 1 / 30 Introduction The topics covered today: The inverter: the simplest

More information

A Literature Survey on Low PDP Adder Circuits

A Literature Survey on Low PDP Adder Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 12, December 2015,

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

Design of Adders with Less number of Transistor

Design of Adders with Less number of Transistor Design of Adders with Less number of Transistor Mohammed Azeem Gafoor 1 and Dr. A R Abdul Rajak 2 1 Master of Engineering(Microelectronics), Birla Institute of Technology and Science Pilani, Dubai Campus,

More information

Enhancement of Design Quality for an 8-bit ALU

Enhancement of Design Quality for an 8-bit ALU ABHIYANTRIKI An International Journal of Engineering & Technology (A Peer Reviewed & Indexed Journal) Vol. 3, No. 5 (May, 2016) http://www.aijet.in/ eissn: 2394-627X Enhancement of Design Quality for an

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS SURVEY ND EVLUTION OF LOW-POWER FULL-DDER CELLS hmed Sayed and Hussain l-saad Department of Electrical & Computer Engineering University of California Davis, C, U.S.. STRCT In this paper, we survey various

More information

Implementation of Low Power Inverter using Adiabatic Logic

Implementation of Low Power Inverter using Adiabatic Logic Implementation of Low Power Inverter using Adiabatic Logic Pragati Upadhyay 1, Vishal Moyal 2 M.E. [VLSI Design], Dept. of ECE, SSGI SSTC (FET), Bhilai, Chhattisgarh, India 1 Associate Professor, Dept.

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications

Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications LETTER IEICE Electronics Express, Vol.12, No.3, 1 6 Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications Xin-Xiang Lian 1, I-Chyn Wey 2a), Chien-Chang Peng 3, and

More information

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES R. C Ismail, S. A. Z Murad and M. N. M Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Arau, Perlis, Malaysia

More information

Combinational Logic Gates in CMOS

Combinational Logic Gates in CMOS Combinational Logic Gates in CMOS References: dapted from: Digital Integrated Circuits: Design Perspective, J. Rabaey UC Principles of CMOS VLSI Design: Systems Perspective, 2nd Ed., N. H. E. Weste and

More information

Analysis of SRAM Bit Cell Topologies in Submicron CMOS Technology

Analysis of SRAM Bit Cell Topologies in Submicron CMOS Technology Analysis of SRAM Bit Cell Topologies in Submicron CMOS Technology Vipul Bhatnagar, Pradeep Kumar and Sujata Pandey Amity School of Engineering and Technology, Amity University Uttar Pradesh, Noida, INDIA

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

Single Ended Static Random Access Memory for Low-V dd, High-Speed Embedded Systems

Single Ended Static Random Access Memory for Low-V dd, High-Speed Embedded Systems Single Ended Static Random Access Memory for Low-V dd, High-Speed Embedded Systems Jawar Singh, Jimson Mathew, Saraju P. Mohanty and Dhiraj K. Pradhan Department of Computer Science, University of Bristol,

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

Digital Microelectronic Circuits ( ) Pass Transistor Logic. Lecture 9: Presented by: Adam Teman

Digital Microelectronic Circuits ( ) Pass Transistor Logic. Lecture 9: Presented by: Adam Teman Digital Microelectronic Circuits (361-1-3021 ) Presented by: Adam Teman Lecture 9: Pass Transistor Logic 1 Motivation In the previous lectures, we learned about Standard CMOS Digital Logic design. CMOS

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

A HIGH EFFICIENCY CHARGE PUMP FOR LOW VOLTAGE DEVICES

A HIGH EFFICIENCY CHARGE PUMP FOR LOW VOLTAGE DEVICES A HIGH EFFICIENCY CHARGE PUMP FOR LOW VOLTAGE DEVICES Aamna Anil 1 and Ravi Kumar Sharma 2 1 Department of Electronics and Communication Engineering Lovely Professional University, Jalandhar, Punjab, India

More information

A gate sizing and transistor fingering strategy for

A gate sizing and transistor fingering strategy for LETTER IEICE Electronics Express, Vol.9, No.19, 1550 1555 A gate sizing and transistor fingering strategy for subthreshold CMOS circuits Morteza Nabavi a) and Maitham Shams b) Department of Electronics,

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Sumit Kumar Srivastavar 1, Er.Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology,

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R RW 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

ISSCC 2001 / SESSION 11 / SRAM / 11.4

ISSCC 2001 / SESSION 11 / SRAM / 11.4 ISSCC 2001 / SESSION 11 / SRAM / 11.4 11.4 Abnormal Leakage Suppression (ALS) Scheme for Low Standby Current SRAMs Kouichi Kanda, Nguyen Duc Minh 1, Hiroshi Kawaguchi and Takayasu Sakurai University of

More information

Performance of Low Power SRAM Cells On SNM and Power Dissipation

Performance of Low Power SRAM Cells On SNM and Power Dissipation Performance of Low Power SRAM Cells On SNM and Power Dissipation Kanika Kaur 1, Anurag Arora 2 KIIT College of Engineering, Gurgaon, Haryana, INDIA Abstract: Over the years, power requirement reduction

More information

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION Mr. Snehal Kumbhalkar 1, Mr. Sanjay Tembhurne 2 Department of Electronics and Communication Engineering GHRAET, Nagpur, Maharashtra,

More information

Design of Single Phase Continuous Clock Signal Set D-FF for Ultra Low Power VLSI Applications

Design of Single Phase Continuous Clock Signal Set D-FF for Ultra Low Power VLSI Applications Design of Single Phase Continuous Clock Signal Set D-FF for Ultra Low Power VLSI Applications K. Kavitha MTech VLSI Design Department of ECE Narsimha Reddy Engineering College JNTU, Hyderabad, INDIA K.

More information

CMOS VLSI Design (A3425)

CMOS VLSI Design (A3425) CMOS VLSI Design (A3425) Unit V Dynamic Logic Concept Circuits Contents Charge Leakage Charge Sharing The Dynamic RAM Cell Clocks and Synchronization Clocked-CMOS Clock Generation Circuits Communication

More information

Area and Power Efficient Pass Transistor Based (PTL) Full Adder Design

Area and Power Efficient Pass Transistor Based (PTL) Full Adder Design This work by IJARBEST is licensed under Creative Commons Attribution 4.0 International License. Available at https://www.ijarbest.com Area and Power Efficient Pass Transistor Based (PTL) Full Adder Design

More information

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES PSowmya #1, Pia Sarah George #2, Samyuktha T #3, Nikita Grover #4, Mrs Manurathi *1 # BTech,Electronics and Communication,Karunya

More information

LOW POWER HIGH PERFORMANCE DECODER USING SWITCH LOGIC S. HAMEEDA NOOR 1, T.VIJAYA NIRMALA 2, M.V.SUBBAIAH 3 S.SALEEM 4

LOW POWER HIGH PERFORMANCE DECODER USING SWITCH LOGIC S. HAMEEDA NOOR 1, T.VIJAYA NIRMALA 2, M.V.SUBBAIAH 3 S.SALEEM 4 RESEARCH ARTICLE OPEN ACCESS LOW POWER HIGH PERFORMANCE DECODER USING SWITCH LOGIC S. HAMEEDA NOOR 1, T.VIJAYA NIRMALA 2, M.V.SUBBAIAH 3 S.SALEEM 4 Abstract: This document introduces a switch design method

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology UDC 621.3.049.771.14:621.396.949 A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology VAtsushi Tsuchiya VTetsuyoshi Shiota VShoichiro Kawashima (Manuscript received December 8, 1999) A 0.9

More information

Retractile Clock-Powered Logic

Retractile Clock-Powered Logic Retractile Clock-Powered Logic Nestoras Tzartzanis and William Athas {nestoras, athas}@isiedu URL: http://wwwisiedu/acmos University of Southern California Information Sciences Institute 4676 Admiralty

More information

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting Jonggab Kil Intel Corporation 1900 Prairie City Road Folsom, CA 95630 +1-916-356-9968 jonggab.kil@intel.com

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information