CHAPTER 2 PWM AND PSM DC/DC BUCK CONVERTERS

Size: px
Start display at page:

Download "CHAPTER 2 PWM AND PSM DC/DC BUCK CONVERTERS"

Transcription

1 8 CHAPTER 2 PWM AND PSM DC/DC BUCK CONVERTERS PWM (Erickson 997) and PSM (Ping Luo et al 26) DC DC converters are categories of switching-mode voltage regulators. In these types of converters, transistors are operated as switches in saturation or cut-off regions, which dissipate much less power than that of linear regulators. The controllers suitably driving the switches based on the error between feedback and reference values effect voltage regulation. This chapter includes modeling and simulation of PWM and PSM buck converters. Circuit operation, modeling and simulation of PWM converter is included in section 2. and that of PSM converter in section 2.2. Results of observations on the non-linear phenomena of respective converters are included at the end of each section. 2. PWM DC DC BUCK CONVERTER A PWM dc dc buck converter circuit in Figure 2. consists of a controllable switch S, a freewheeling diode, an inductor L, and a filter capacitor C. Resistor R represents a dc load. Power MOSFETs are preferred as controllable switches due to their high switching speeds. DC power source at the input is often an unregulated varying voltage source. Switch is driven from a PWM controller designed to modify the switching duration to control the output voltage. Diode is chosen to be a fast recovery or Schottky type.

2 9 MOSFET L + Vin - C R PWM CONTROLLER Figure 2. PWM Buck converter 2.. Circuit Operation MOSFET switch, which is controlled by a PWM controller, is turned ON and OFF at a predetermined frequency. This results in the DC input voltage chopped and presented as a rectangular wave to the filter stage. LC filter smoothes out the ripple and the average output voltage v at steady state is given by v =dv in. Output voltage is controlled by suitably modulating the pulse width or TON duration in PWM control. Sample of the output voltage is compared with reference voltage and the error voltage after due compensation is compared with a switching frequency saw tooth wave. The comparator output

3 2 drives the switch and controls the output by suitably modifying the pulse width or TON. Voltage fed to the LC filter due to switching operation is as shown in Figure 2.2. Voltage in V Time in ms Figure 2.2 Input voltage presented to LC filter due to switch action An ideal filter results in ripple free output voltage with % efficient converter assuming that the switches are also ideal. LC filter is so designed that its cut-off frequency is well below the switching frequency so that the switching frequency components are not passed to the output with significant amplitude. Voltage across C and inductor current are shown in Figure 2.3. A practical switching converter, even though superior in efficiency to linear converters, has output voltage with ripple and poor in response.

4 2 il in A and vc in V il in A and vc in V Time in ms Figure 2.3 Typical waveforms of inductor current i L and capacitor voltage v C 2..2 Operating Modes of Converter The converter can operate in two distinct modes namely Continuous Conduction Mode (CCM) and DCM (Erickson and Maksimovic 2). Under CCM the inductor current i L is always greater than zero and is never discontinuous. Hence every cycle starts with a nonzero inductor current. In the case of DCM the inductor current becomes zero before the switch is closed for next cycle. Hence in the case of DCM operation every cycle starts from a zero inductor current after a brief period over which the inductor current was zero within the previous cycle. The converter can also operate in critical mode at the border with inductor current zero just becoming zero for an instant of time and this duration over which the current was zero can be taken to be zero. This mode is also known as Border Conduction Mode or Boundary Conduction Mode (Basso 28).

5 22 Figure 2.4(a) shows a buck converter circuit. An ideal switch represents the transistor and the diode has no forward voltage drop. Referring to Figure 2.4 (b) with the switch ON, voltage V d is equal to V in and as V in is greater than V o the current through the diode rises linearly. From Figure 2.4 (c) with the switch OFF, voltage across the inductor reverses and the voltage V d is equal to zero. The diode conducts the inductor current. Inductor voltage is now -V o. The inductor current I L decreases and till the end of OFF period if the current is above zero this mode is continuous conduction mode. The inductor voltage and inductor current corresponding to continuous conduction mode is shown in Figure 2.5. (a) (b) Figure 2.4 Continuous conduction mode (a) Buck converter circuit (b) Switch open and voltage across inductor is (v in -v ) (c) Switch closed and voltage across inductor is (-v )

6 23 (c) Figure 2.4 (Continued) Figure 2.5 Inductor voltage and current-continuous conduction mode With the converter operating in continuous conduction mode at steady state with input and output voltages fixed, load current and frequency constant, the duty ratio is constant at D and the output voltage is given by Equation (2.) Vo = D V in (2.)

7 24 where, V Output voltage V in Input voltage D Duty cycle TON D (2.2) T T T ON T OFF f sw (2.3) T ON ON state duration (S) T OFF OFF state duration (S) T Total duration (S) f sw Switching frequency (Hz) During the T OFF period if the inductor current falls to zero for a portion of the switching cycle as shown in Figure 2.6 the converter is said to be in discontinuous conduction mode. The current starts at zero, reaches a peak value, and returns to zero during each switching cycle. The circuit takes up a third configuration as shown in Figure 2.7. Figure 2.6 Inductor current - Discontinuous conduction mode

8 25 Figure 2.7 Third circuit configuration- Discontinuous conduction mode With the converter operating in continuous conduction mode at steady state with input and output voltages fixed, load current and frequency constant, the duty ratio is constant at D and the output voltage is given by Equation (2.4) V V LI 2 D TV in 2 in (2.4) where I output current Modeling of voltage mode controlled buck converter A switching converter model is useful to study how the input voltage, load current, or the duty cycle variations affect the output voltage. The switching buck converter switching between two time-invariant systems during each switching period under continuous conduction mode is actually a time- variant system due to the switching action. It is possible to approximate this time-variant system with a linear time-invariant continuous-time system using State-space averaging technique (Middlebrook and Cuk 977). A buck converter is modelled using state space averaging (Forsyth and Mollov 998) and simulated using MATLAB/SIMULINK. Model

9 26 considers the ESR of the capacitor and diode forward drop and neglects the inductor series resistance. Regulation is effected through voltage mode control and analysed for performance and exhibition of nonlinear phenomena with input voltage as parameter. State space averaged model for buck converter: When two or more than two sets of state equations each describing a state of the circuit due to action of switches are available then these state equations can be averaged over the switching period by dividing the weighted sum with the period. In the converter studied under continuous conduction mode there are two sets of equations available, with one set for switch closed and one set for the switch open. These state equations are averaged over the switching period. A buck converter is modelled with diode forward drop and ESR of filter capacitor considered. State equations are developed by applying KVL and KCL to each of the two circuits and averaged. Figure 2.8 Buck converter circuit

10 27 L L Vin C R C R rc rc (a) (b) Figure 2.9 Equivalent Circuit with (a) switch closed (b) switch open for developing the state equations When the switch is ON: Applying KVL and KCL for the first configuration dil rc rc il vc vin i (2.5) dt L L L L dvc il i (2.6) dt C C v rc i v r (2.7) L C Ci i in i L (2.8) These equations can be written in the form x y A x C x B u Du from t= to Ton (2.9)

11 28 When the switch is OFF: Applying KVL and KCL for the second configuration di L dt rc i L L v L C v L d rc i L (2.) dv dt C i C L i C (2.) v rc i v r (2.2) L C Ci i in (2.3) x y A x 2 C x 2 B u 2 D u 2 from t = Ton to T, over a duration of (-d)t ( 2.4) Averaged state space equations with duty ratio d are dil rc d d rc il vc vin vd i (2.5) dt L L L L L dvc il i (2.6) dt C C v rc i v r (2.7) L C Ci i in di L (2.8) The averaged state space equations are x y Ax Cx Bu Du (2.9)

12 29 where A da d A 2 (2.2) B db d B 2 (2.2) By linearising the above equations, the small signal representation can be got. In the state space form xˆ Axˆ Bû (2.22) ŷ Cxˆ Dû (2.23) T xˆ î L vˆc (2.24) T û vˆ in î (2.25) dˆ T ŷ î in vˆ (2.26) A rc L C - L (2.27) B D L rc L C V in V L d (2.28) C D r (2.29) C il D (2.3) r C

13 3 xˆ î L vˆc T and T û vˆ î dˆ are inductor current, capacitor voltage, input voltage, output current and duty cycle perturbations. in The actual and average models in MATLAB / SIMULINK are given below in Figures 2. to 2.2 for the converter. v /L s il il 2 d R L R 3 i_ou t /C s Vc 2 V Figure 2. SIMULINK actual model of the buck converter 2 Vino Vino IL D Do Delta _Vin Do Delta_Vin Delta_D Vo Output Voltage Inductor Current 2 Delta _D I Io Ic Capacitor Current Scope Io Delta_Io 3 Delta _Io Buck Converter State Space Averaged Model Figure 2. State space averaged model of buck converter

14 3 D duty ratio d c g PWM block D S i v Scope Diode Figure 2.2 Simulink PSB model of converter 2..3 Voltage Mode Controlled Buck Converter Voltage-mode controller is a type of fixed-frequency PWM controller. It consists of a clock generator corresponding to the switching frequency, a voltage error amplifier with compensator that generates control voltage, a ramp generator operating in synchronism with the clock, and a comparator to compare the control voltage with the sawtooth signal. The output of the comparator is used to drive the controlled switch. Buck converter with PWM voltage mode control is shown in Fig.2.3. Output voltage is sensed with a voltage sensor, with a sensor gain, which may be a voltage divider consisting of precision resistors suitably selected for the available reference voltage. The output voltage signal is compared with the reference voltage. If the output voltage is lower than the desired value, a positive error voltage is produced. The compensator processes the error voltage and the control voltage is produced with a d, which is positive in this case, and the duty cycle is increased. This increases the output voltage.

15 32 The stability and transient response depend on the compensator circuit. Figure 2.4 shows the overall transfer function block diagram with input voltage and load current as disturbances. MOSFET L gain + Vin - D C R Comp Vcon GAIN & Gc gain Comp V 5/8V + Vref - 4kHz Figure 2.3 Voltage mode controlled PWM Buck converter Figure 2.4 Closed loop with compensator

16 33 The transfer function of the PWM generator is basically /V M, where V M is the peak to peak voltage of ramp given by (V U -V L ) where V U is the peak value and V L is the valley as shown. Figure 2.5 PWM generation The transfer function of the buck converter and PWM is: G( s ) V M rccs Vin 2 L s LC s rc C R (2.3) A compensator can be designed that improves phase margin and static gains to better the performance. For voltage mode control feedback the compensator gain is Gc. For a closed loop system: The loop gain T(s) = H(s)G c (s)g vd (s)/v m (2.32) Then input voltage disturbance to output transfer function is

17 34 vˆ s vˆ s in G v vˆ ref in T s s (2.33) î o Load current disturbance to output voltage transfer function is vˆ s î s vˆ ref vˆin Z s T s (2.34) with vˆ s G s dˆ s G s vˆ s Z s iˆ in o ( s) v v d in where G vd (s) is converter control to output transfer function with line and load disturbances assumed zero. G vin (s) is converter line to output transfer function with control input and load disturbance assumed zero. Z o (s) is converter output impedance with control input and line disturbance assumed zero. Hence the feedback reduces the impact of disturbances affecting the output due to large gain. vˆ s vˆ ref H T T G s vin T vˆ in s Z s T iˆ ( s) o with T s H ( s) Gc ( s) Gvd ( s) V M Loop Gain (2.35)

18 35 For the converter with L=56 H, C=47 F with rc=25m and R=5 o = 3.693krad/S z = 4.84krad/S =.88 G(s) = (538) (s+72)(s s+3.64X 6 ) - Transfer function: s.9637e s^2 389 s.364e 7 The step response of the uncompensated system is in Figure 2.6. For the Uncompensated unity feedback system, sensor gain and gain of PWM are assumed to be one..4 Step Response.2 Sys tem: gv d Peak amplitude:.23 Overshoot (%): 3.8 At time (sec):.8 Sys tem: gv d Settling Time (sec):.528 Sys tem: gv d Final Value: Amplitude Time (sec) x -3 Figure 2.6 Step response of uncompensated system

19 36 The peak response is 3% and it is desirable to decrease it to be within 25%. The settling time is.53 ms and there is steady state error. The Bode plot of the same system is shown in Figure Bode Diagram Magnitude (db) Phase (deg) Frequency (rad/sec) System: gvd Phase Margin (deg): 6 Delay Margin (sec): 9.58e-5 At frequency (rad/sec):.93e+4 Closed Loop Stable? Yes Figure 2.7 Bode plot of uncompensated system A tuned PID controller ( /s +.76e -5 ) using Zeigler Nichols Method is considered for simulation. The step response is shown in Figure 2.8. The steady state error is not present. The overshoot is around 25% and the settling time is.7ms. Bode plot of the compensated system is shown in Figure 2.9 and SIMULINK model with PID control shown in Figure 2.2.

20 37.4 Step Response From: Input To: Out().2 System: Gvdc I/O: Input to Out() Peak amplitude:.26 Overshoot (%): 25.5 At time (sec):.34 System: Gvdc I/O: Input to Out() Settling Time (sec):.7 System: Gvdc I/O: Input to Out() Final Value:.8 Amplitude Time (sec) x -3 Figure 2.8 Step response of compensated system 5 Bode Diagram From: Input To: System: Out() Gvdc I/O: Input to Out() Frequency (rad/sec):.3e+4 Magnitude (db):.848 Magnitude (db) Phase (deg) Frequency (rad/sec) System: Gvdc Phase Margin (deg): 94.4 Delay Margin (sec):.59 At frequency (rad/sec):.4e+4 Closed Loop Stable? Yes Figure 2.9 Bode plot of compensated system

21 38 Figure 2.2 SIMULINK Model of PWM Buck converter with PID control 2..4 Simulation of Voltage Mode Controlled Converter under CCM The actual model and average model of the PWM buck converter are simulated using MATLAB/SIMULINK and the schematic using PSIM for input voltage disturbance and load disturbance. A buck DC/DC power stage is designed for the specifications in Table 2.. Table 2. Specifications of power stage Item Symbol Min Typ Max Unit Input Voltage V in V Output voltage V 5 V Output Current I 2 ma Output Voltage Ripple V ripple 5 mv

22 39 The following parameters in Table 2.2 are considered in the simulation of the voltage mode controlled buck converter. Load resistance is typically 5 Ohms corresponding to a load current of A and the load is varied down to ma at light load. Table 2.2 Parameter values considered for simulation L 56uH C 47uF R 5 to 5 Ohms, 5 Ohms Typ V ref T 5V 25uS v d.4 r C.25Ohms Results. Input voltage at 2V, ref. voltage at 5V and the load current A The output voltage is controlled to be constant at 5V when a voltage of 2 V is applied as input. Load resistance R is chosen to be 5. The load current is A. The inductor current and output voltage of the converter are shown in Figure 2.2. The output voltage v o,max = 5.2 V and v o,min = 4.97V and the ripple is 5mV p-p (approx).the inductor current ripple is.4a (approx).

23 4 Figure 2.2 Inductor current and output voltage of converter The PSIM simulation results are shown in Figure 2.22 for the same converter parameters. Output voltage is controlled to be 5V with an input voltage of 2V. The load resistance of 5 resulted in a load current of A. The output voltage v o,max = 5.27V and v,min = 4.974V and the ripple voltage is 53mVp-p. The inductor current ripple is.4a (approx). The phase plot in Figure 2.23 indicates that both the inductor current ripple frequency and the capacitor voltage frequency are equal and is equal to the switching frequency and the operation is period I.

24 4 5.4 Output Voltage in V [.24587, 5.272] [.24628, ] Ripple.58%.3 Ind Current in A Time (s) Figure 2.22 Output voltage ripple for VM controlled buck converter vc in mv 54.m 52.m 5.m 498.m 496.m I2 Figure 2.23 Phase plot between v C and i L showing period I operation

25 Observations with Variation in Input Voltage/load Response of the converter to the following transients are studied. The input voltage is varied from 2 to 2V in step keeping load current constant at A. Output response to a step change in input is plotted and is as shown. Input voltage increases by 8 volts in single step at time instant.2 msec. This results in a transient, which decays and settles in.5 ms as shown in Figure 2.24 SIMULINK and Figure 2.25 PSIM. The peak overshoot is.3v and the transient settles in.5ms. PWM Converter Average Model - Response to Step Input Voltage Disturbance vo (v) Time (S) Vin(V) Time(S) Figure 2.24 Response to a step change in input voltage PWM control

26 43 v (V) vin(v) Time (ms) Figure 2.25 Response to a step change in input voltage PWM control 2V. The load is varied from.5a to A at nominal input voltage of vo (V) io (A) Time (S) Figure 2.26 Response to a step change in Load PWM control

27 44 6. io (A) vo (V) Time (ms) Figure 2.27 Response to a step change in Load PWM control simulated with PSIM 2..6 Bifurcation and Chaos in PWM DC DC Converter Bifurcation is the qualitative change in the dynamics of a system that occurs when a system parameter is changed (Di Bernado et al 998, Dean and Hamill 99). It is a nonlinear phenomenon, which can be studied through bifurcation diagrams, which is the most commonly used tool for capturing bifurcation behavior. follows: The procedure for construction of the bifurcation diagram is as. Let µ be the parameter that is varied. Start with an initial value of µ. 2. Generate a large number of say consecutive values of a state variable x, from the iterative map of the form x n+ =f(x n ). 3. Discard the initial transient, say, the rst 5 values. The remaining 5 values of x form one data set.

28 45 4. With an increment in µ, repeat steps 2 and 3 for another data set. 5. Repeat 4 over a chosen range of µ. 6. Plot each data set against µ. The parameter, which is varied, is plotted along the x-axis and the state variable is plotted along the y-axis. If the system were operating under period I, for a particular parameter value, there would be one point along the y-axis against that parameter value. If the system were operating at period II at some other value of the parameter, then there would be two points against that value. If the system were chaotic there would be a large number of points against that value A Method to generate stepped input voltage variation A method to obtain the bifurcation plot is described below. The input voltage is taken as the parameter and is varied from a minimum voltage to a maximum voltage up to which, the bifurcation plot is required. Every level of the input voltage is maintained over a duration equal to that of cycles and data corresponding to the first 5 cycles in each level are not considered to avoid data during transients that may be involved due to step increase from one level to another. Input voltage is increased in steps with the help of the following circuit in Fig for bifurcation plot.

29 46 PE P C/ P U/D R Figure 2.28 Schematic to generate a stepped input voltage variation The up/down counter is set to count up and the square wave oscillator frequency is selected suitably to include some cycles of clock frequency. A voltage dependant voltage source accepts input from the counter output and the source voltage increases in steps as the counter counts up. Each voltage level stays constant over cycles of clock frequency. At the end of cycles of clock the source voltage increases by volt. It is possible to alter the step size by adjusting the gain of the source. For example if the gain is set to be. the voltage increases in steps of. and so on. V5 V Time (s) Figure 2.29 Stepped input voltage variation and sampling pulses

30 47 Figure 2.29 shows a typical source voltage waveform and the state variable sampler pulses. Each burst of pulses represent 5 sampling pulses, which sample the variable to be plotted. On every step increase in source voltage, the sampling clock generates pulses but initial 5 pulses are blanked out and are not present to avoid the transients due to step increase in voltage. But in fact to determine the period doubling, sampling at two successive clock cycles should be sufficient. For the converter under study, for different input voltages the state variable v C, is plotted against input voltage. Characteristic to be observed is the periodicity of the operation and changes if any. Period I operation would be observed when ripple frequency equals the switching frequency or the frequency at which the system is driven. Stable converters exhibit periodic steady state behavior. State variables repeat cyclically with period T. Stroboscopic Poincar'e map, an important tool for studying stability of periodic orbit replaces the continuous time system with an equivalent discrete time system. The Poincar'e map of equivalent discrete time system P ( x ) : R n R n P ( x x with ( t) t T a periodic solution with ) t T, t, period I, has an attracting fixed point to which the state converges after transients die down. If the converter is not stable the fixed point is not an attractor and there would be divergence away from the fixed point. Therefore stability of the fixed point of the map determines the local stability and attracting fixed point corresponds to periodic steady state of continuous time system. A fixed

31 48 point of a mapping is stable if and only if its characteristic multipliers or Floquet multipliers all lie in the unit circle in the complex plane (Hamill D C et al 992). These multipliers are similar to gradient of the mapping in one-dimensional case and are eigen values of the Jacobian evaluated at the fixed point. In the converter under study input voltage is varied from 2V to 2V for which it is designed. Stroboscopic map, plotted for i L, the inductor current and v C, the capacitor voltage is observed using MATLAB/ SIMULINK. The voltage is varied in small steps from 2V. In every step the capacitor voltage, which is the state variable, during a particular instant in each switching cycle is obtained. Due to this the map can be called as stroboscopic switching map. Since there may be transient in each step voltage for several initial cycles are discarded and after sufficient switching cycles elapse the values are obtained and stored. During the same instants of time inductor current is also obtained and stored. These may be plotted with time instants to obtain the bifurcation diagram. If the steps are close enough the diagram would be smooth. These two state variables can be plotted with one as a function of other. The section can be moved over the switching period wherein time is the third variable for a particular input voltage. The strange attractor at a particular input voltage and time instant within cycle is also obtained. Period I operation is observed throughout and there was no nonlinear phenomenon (Banerjee and Verghese 2) exhibited over the entire operating range which can also be studied using bifurcation diagram. The fixed point on the phase plane, indicating period I operation for normal

32 49 input of 2V, is shown in Figure 2.3. State trajectory in state space indicating period I operation is shown in Figure 2.3. As the voltage is increased the operation changes to period II as indicated by the phase plane plot in Figure 2.32 and the control voltage in Figure Bifurcation diagram with input voltage as the parameter for the PWM converter is shown in Figure In the converter under study, chaos, which is a bounded, aperiodic, apparently random operation (Deane and Hamill 99), sets in at a voltage of about 23V. This is beyond the range at which the converter would normally be operated and hence the converter is chaos free within normal range of operation. il (A) v C (V) Figure 2.3 Phase portrait - Switching map PWM converter

33 5 52.m 5.m vc (V) 5.m 499.m 498.m I L (A) Figure 2.3 State trajectory PWM converter period I operation VC vc(v) I2 i L (A) Figure 2.32 State trajectory in state space PWM converter period II operation

34 5 Vcon Vramp Time (ms) Figure 2.33 Control voltage and ramp during period II operation Figure 2.34 Bifurcation diagram for PWM converter input voltage in V is parameter Stroboscopic map with input voltage at 22.6V in Figure 2.34 shows period-2 operation. The route to chaos is period doubling bifurcation, which is characteristic of voltage mode controlled buck converter (Fossas and Olivar 996). Period doubling in the converter operation occurs at a voltage a little above 2V. The stroboscopic switching map is shown in Figure 2.35 for period II operation V i n ( V ) Strange attractor at 24V is shown in Figure This is the region just after the chaos sets in or just after the enlargement.

35 52 vc(v) i L (A) Figure 2.35 Stroboscopic map for PWM converter, at 22.6V v C ( V ) Figure 2.36 Strange attractor at V in = 24V PWM converter 2.2 PSM DC DC BUCK CONVERTER Figure 2.37 shows the power stage with a PSM controller feedback (Luo Ping et al 26). It consists of a MOSFET switch, a diode, an inductor L, a capacitor C, and a load resistance R. Converter is controlled by the PSM control logic using a clock with a fixed period and duty ratio.

36 53 MOSFET L + Vin - C R PSM CONTROL LOGIC SIG V /V GATE/ INHIBIT f SW REF + Vref - 4kHz Figure 2.37 PSM DC/DC buck converter The clock pulses fed to the converter are at constant frequency and the pulse width is set to be the maximum possible in a basic converter control. The component values are selected for operation at clock frequency. The frequency of operation is generally high enabling reduction in volume and weight. V in can be from a battery or rectified DC from mains that has double the power frequency ripple Circuit Operation While the converter output is less than the reference value, the pulses are applied to the converter switch. When the converter output crosses the reference, to go above the reference the next pulse is skipped. Hence output is maintained at a value close to the reference. Pulse density decreases after the output value goes above the reference or as load decreases and increases when it goes below, or as the load decreases.

37 54 MOSFET switch is ON when the clock pulse is applied over a xed duration of time equal to duty cycle of the clock and the inductor current rises linearly. The switch is OFF for the remaining period of the cycle and the current drops to a lower value. It drops to a value lower than the initial value if the next pulse is skipped and so on. Thus by alternately permitting p pulses and skipping q pulses the output voltage is maintained at a value very close to reference value. The waveforms are shown in Figure The duration pdt is known as charging duration and the duration qdt is known as skipping duration. A cycle consists of (p+q) clock cycles each of duration T where T is the switching period of the clock. il 8 vo (V) & il(a) vo Pulses Applied Pulses skipped Time (s) Figure 2.38 Waveforms of output voltage, inductor current and gate pulses for a PSM converter D is the fixed duty ratio in each cycle and is the maximum duty ratio possible and is less than.

38 55 Gate pulses Q Q D Vo vref clk Figure 2.39 PSM control logic As shown in Figure 2.39(a) comparator compares v and v ref and ts output is given as D input to a D ip op. Q output of D ip op is ANDed with CLK and the AND gate output s applied to the MOSFET switch. On v ref > v comparator output is HIGH and D is HIGH, which will also be the output of the ip op as long as D is high throughout the clock cycle. This makes the output of AND gate to equal the CLK and hence clock cycles are applied to the switch. This duration is known as charging interval or active interval. On v ref < v comparator output is LOW and D ip op output goes LOW at the rising edge of the CLK. This makes Q output of the ip op turn LOW and hence the AND gate output is LOW irrespective of the CLK. The clock pulses are not applied to the switch and are skipped. This duration is known as skipping interval Operating Modes Continuous conduction mode I The converter is said to be working in continuous conduction mode if the inductor current is greater than zero through out the cycle (Kapat et al 28). In each cycle when the switch is ON the inductor current rises and

39 56 when the switch is OFF the current drops to a value equal to or higher than the initial value of the cycle at steady state. The current reaches a maximum value at the end of the charging cycle. During the skipping cycle the pulses are skipped and the current drops to a value higher than zero and the charging cycle starts again. Typical waveforms of a converter working in continuous conduction mode are shown in Figure 2.4. I Charging Skipping V Time (s) Figure 2.4 Inductor current waveform in CCM I Continuous conduction mode II Converter operates in continuous conduction mode with nonzero inductor current except the initial value at the start of each charging cycle (Angkititrakul and Hu 28). In this mode the duration of skipping cycle is long enough for inductor to dry out. The waveform shown in Figure 2.4 has charging duration consisting of p cycles, skipping duration consisting of (q+r) cycles where r is the number of cycles over which the inductor current is zero. Here the inductor current is forced to become discontinuous by prolonging the skipping period.

40 Ind Current in A V Charging Skipping Time (s) Figure 2.4 Inductor current waveform in CCM II Discontinuous conduction mode Under discontinuous conduction mode on application of pulse the inductor current rises during ON time and when the switch is OFF the current reaches zero before the end of the cycle and hence each cycle starts from zero inductor current. The energy stored in the inductor is zero at the end of each switching period. During the skipping period the load resistor discharges the capacitor. Typical Inductor current waveform is shown in Figure The current flows for a duration, which is less than that of a switching cycle. Figure 2.42 also shows a charging pulse and clock cycles. In this case a charging pulse is applied and two pulses are skipped. Inductor current rises during the charging period ON time and during the OFF time it falls to zero before the beginning of the next clock cycle.

41 58 il(a) I2 V Cond time less than switching period Charging Skipping V Time (s) Figure 2.42 Inductor current waveform in DCM When the load resistance is high or the switching frequency f is low, the converter may enter the DCM. Discontinuous conduction mode will result if the load resistance is greater than the critical resistance, which can be calculated from R cr = 2L / D Ts (2.36) For a given load the minimum inductance required for continuous conduction in a switching cycle or L corresponding to mode boundary can be determined from L min = (-D) R/2f (2.37) Critical mode Under critical conduction mode the inductor current starts from zero and rises on application of pulse and ends with zero at the end of each switching cycle. Condition favouring critical mode is the load resistance exactly equalling the critical resistance given by R=R cr = 2fsL/D.

42 59 Figure 2.43 Inductor current waveform in critical mode Critical conduction mode is also known as Boundary Conduction Mode or Border Conduction Mode. It is possible to derive the inductance for a particular load that would result in critical conduction mode by taking the inductor current ripple is twice the average value of the load current. This inductance is known as critical inductance and for continuous conduction for a particular load current the actual circuit inductance must be greater than this. The conversion ratio calculated for continuous conduction is valid only till this operation after which if the load current reduces or the inductance reduces the conversion ratio drastically changes Modeling of Converter under Continuous Conduction Mode Let charging period consists of p cycles and skipping period consists of q cycles for a particular load resistance R and input voltage V in. The duration of charging period is pt and the duration of skipping period is qt. During the charging period, in each cycle the switch is ON for duration equal to DT where D < and during the skipping period the switch is OFF throughout T as the pulses are not applied and skipped. The converter is

43 6 modelled (Ping Luo et al 26) using State Space Averaging (SSA) method and the state space equations, assuming CCM, are obtained as shown below. x A x Bv in for t DT (2.38) y C x (2.39) x A2 x B2v in for DT t T (2.4) y C2 x (2.4) During skipping period x A2 x B2v in for t T (2.42) y C2 x (2.43) where A A A L 2 (2.44) C RC i L x (2.45) v C y v (2.46) B L (2.47)

44 6 B (2.48) 2 C (2.49) Equations (2.38) to (2.4) are valid for p cycles and Equations (2.42) and (2.43) are valid for q cycles. After State Space Averaging, p x Ax BDv in (2.5) p q Defining Modulation Factor M, M f a (2.5) f where f a -Actual frequency of switch and f Switching frequency Then Equation (2.5) becomes x Ax ( M ) DBv in (2.52) Hence the average output voltage is given by v ( M ) o Dv in (2.53) Modulation factor M is proportional to the number of skipping. If v in increases with v and D fixed, M increases. The number of pulses skipped increases to maintain the voltage constant. A similar response is true for increase in load. When load decreases M increases increasing the number of skipping.

45 Simulation of PSM Buck Converter under CCM The average model of the PSM buck converter is simulated using MATLAB/SIMULINK and the schematic using PSIM. A buck DC/DC power stage with the following parameters is considered. Parameter values for power circuit are retained for comparison. Table 2.3 Parameter values considered for simulation S.No Parameter Value Unit L 56 uh 2 C 47 uf 3 5 to 5 Ohms R 5 Ohms Typical 4 Vref 5 V 5 T 25 us 6 vd.4 V 7 r C.25 Ohms The actual topology model is simulated with SIMULINK PSB as in Figure 2.44 with parameters as in Table 2.. A load resistance of 5 Ohm is considered.. Input voltage is fixed at 2V; with reference voltage at 5V and the load current is set to be A. The output voltage is controlled to be constant at 5V when a voltage of 2 V is applied as input. The output voltage and inductor current are as shown in Figure 2.45.

46 63 Continuous powergui input To Workspace i + - Current Measurement g D Mosfet m S psmtest.mat To File i + - Series RLC Branch Current Measurement Diode Series RLC Branch 2 Series RLC Branch + v - Voltage Measurement Scope Scope DC Voltage Source + v - Voltage Measurement Terminator D Latch Q D < 5 Compare To Constant!Q C AND Logical Operator Pulse Generator Figure 2.44 PSM buck converter SIMULINK PSB vo (V) il(i) Figure 2.45 Inductor current for continuous conduction and output voltage. Ripple is observed to be slightly greater than %

47 64 The PSIM simulation results are shown in Figure 2.46 below for the same converter parameters Output Voltag e v in V Inductor Current in A Pulses applied to S witch Time (s) Figure 2.46 PSIM Simulation output showing the ripple in v, Inductor current and pulses applied and skipped. Ripple is slightly above % The state space averaged model is simulated with MATLAB/SIMULINK and the response is shown below in Figure Pulse widths correspond to charging cycle consisting of a number of clock cycles with constant pulse width with duty cycle of 8%. It is possible to note that the width decreases as voltage increases and vice versa indicating the regulating action. Figure 2.47 Average model simulated with input voltage = 2V and D=.8

48 Observations with Variation in Input Voltage/Load For the converter under study the input voltage is changed in step from 2V to 2V at. S. The output voltage settles in around.2ms with higher ripple. The result indicates that the PSM converter has better response to transients. Response to load current and input voltage is shown in Figure Output Voltage v in V 8 [.6, ] 6 4 [.2563, 5.238] 2 step increase in Input Voltage Time (s) (a) 6 V I Time (s) (b) Figure 2.48 Output voltage response to step change in (a) input and (b) load current

49 Bifurcation and Chaos in PSM DC DC Converter Input voltage is fixed at 2 V and the phase plane plot between il, the inductor current and v C, the capacitor voltage is plotted as shown in Figure vc (V) i L (A) Figure 2.49 State trajectory in state space - plot between i L and v C with PSM control for V in =2V The poincar e section as S-Switching map is drawn after the initial transients subside completely and is shown in Figure 2.5. Period I operation in which the ripple frequency equals the switching frequency is not observed in this case, since the average frequency is less than the switching frequency due to pulse skipping. Output voltage ripple is observed to be high, demanding attention.

50 67 vc (mv) il (A) Figure 2.5 Phase Portrait - Stroboscopic map PSM converter for V in =2V Bifurcation diagram with input voltage as the parameter for the PSM converter is shown in Figure 2.5. The plot enlarged over the input voltage range of V to 2V is shown in Figure In the diagram rt regions in the form of windows interleave chaotic regions throughout from the start. The stroboscopic map at an input voltage corresponding to operation within the operating range is shown in Figure The plot includes 6 date points and exhibits rt periodicity with r = 23. vc(v) v in (V) Figure 2.5 Bifurcation diagram for PSM converter

51 68 vc(v) v in (V) Figure 2.52 Bifurcation diagram for PSM converter enlarged over V to 2V range Figure 2.53 Stroboscopic map for PSM converter at V in = 7.6 V For the same converter the voltage is changed in steps of 2V from 2V to 2 to observe the response for onset of chaos if any and the converter responded to be non chaotic as shown in Figure 2.54.

52 Time (s) Figure 2.54 Inductor current in A with change in input voltage in 2V steps from 2V to 2V of input voltage. The Table 2.4 shows the pulses applied and skipped over the range Table 2.4 Pulses applied and skipped over the range of input voltage from 2V to 2V Input Voltage Number of pulses Applied Skipped

53 7 2.3 CONCLUSION A DC to Dc converter is designed and controlled with PWM and PSM controllers under continuous conduction node. The converters are model studied for their performance under varying input voltage and load conditions. It is found that PSM converter that better response, but suffers from higher ripple. The converters are studied for exhibition of bifurcation and chaos. A method to generate stepped input voltage variation is discussed and the bifurcation diagrams and stroboscopic maps are obtained for both the converters with supply voltage as parameter.

TABLE OF CONTENTS CHAPTER NO. TITLE PAGE NO. LIST OF TABLES LIST OF FIGURES LIST OF SYMBOLS AND ABBREVIATIONS

TABLE OF CONTENTS CHAPTER NO. TITLE PAGE NO. LIST OF TABLES LIST OF FIGURES LIST OF SYMBOLS AND ABBREVIATIONS vi TABLE OF CONTENTS CHAPTER NO. TITLE PAGE NO. ABSTRACT LIST OF TABLES LIST OF FIGURES LIST OF SYMBOLS AND ABBREVIATIONS iii x xi xvii 1 INTRODUCTION 1 1.1 INTRODUCTION 1 1.2 BACKGROUND 2 1.2.1 Types

More information

CHAPTER 6 INPUT VOLATGE REGULATION AND EXPERIMENTAL INVESTIGATION OF NON-LINEAR DYNAMICS IN PV SYSTEM

CHAPTER 6 INPUT VOLATGE REGULATION AND EXPERIMENTAL INVESTIGATION OF NON-LINEAR DYNAMICS IN PV SYSTEM CHAPTER 6 INPUT VOLATGE REGULATION AND EXPERIMENTAL INVESTIGATION OF NON-LINEAR DYNAMICS IN PV SYSTEM 6. INTRODUCTION The DC-DC Cuk converter is used as an interface between the PV array and the load,

More information

Advances in Averaged Switch Modeling

Advances in Averaged Switch Modeling Advances in Averaged Switch Modeling Robert W. Erickson Power Electronics Group University of Colorado Boulder, Colorado USA 80309-0425 rwe@boulder.colorado.edu http://ece-www.colorado.edu/~pwrelect 1

More information

Chapter 3 : Closed Loop Current Mode DC\DC Boost Converter

Chapter 3 : Closed Loop Current Mode DC\DC Boost Converter Chapter 3 : Closed Loop Current Mode DC\DC Boost Converter 3.1 Introduction DC/DC Converter efficiently converts unregulated DC voltage to a regulated DC voltage with better efficiency and high power density.

More information

Pulse Skipping Modulated Buck Converter - Modeling and Simulation

Pulse Skipping Modulated Buck Converter - Modeling and Simulation Circuits and Systems, 2010, 1, 59-64 doi:10.4236/cs.2010.12010 Published Online October 2010 (http://www.scirp.org/journal/cs) Pulse Skipping Modulated Buck Converter - Modeling and Simulation Abstract

More information

Digital Simulation and Analysis of Sliding Mode Controller for DC-DC Converter using Simulink

Digital Simulation and Analysis of Sliding Mode Controller for DC-DC Converter using Simulink Volume-7, Issue-3, May-June 2017 International Journal of Engineering and Management Research Page Number: 367-371 Digital Simulation and Analysis of Sliding Mode Controller for DC-DC Converter using Simulink

More information

DESIGN AND ANALYSIS OF FEEDBACK CONTROLLERS FOR A DC BUCK-BOOST CONVERTER

DESIGN AND ANALYSIS OF FEEDBACK CONTROLLERS FOR A DC BUCK-BOOST CONVERTER DESIGN AND ANALYSIS OF FEEDBACK CONTROLLERS FOR A DC BUCK-BOOST CONVERTER Murdoch University: The Murdoch School of Engineering & Information Technology Author: Jason Chan Supervisors: Martina Calais &

More information

Linear Peak Current Mode Controlled Non-inverting Buck-Boost Power-Factor-Correction Converter

Linear Peak Current Mode Controlled Non-inverting Buck-Boost Power-Factor-Correction Converter Linear Peak Current Mode Controlled Non-inverting Buck-Boost Power-Factor-Correction Converter Mr.S.Naganjaneyulu M-Tech Student Scholar Department of Electrical & Electronics Engineering, VRS&YRN College

More information

Final Exam. Anyone caught copying or allowing someone to copy from them will be ejected from the exam.

Final Exam. Anyone caught copying or allowing someone to copy from them will be ejected from the exam. Final Exam EECE 493-101 December 4, 2008 Instructor: Nathan Ozog Name: Student Number: Read all of the following information before starting the exam: The duration of this exam is 3 hours. Anyone caught

More information

CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER

CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER 59 CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER 4.1 Conventional Method A buck-boost converter circuit is a combination of the buck converter topology and a boost converter

More information

R. W. Erickson. Department of Electrical, Computer, and Energy Engineering University of Colorado, Boulder

R. W. Erickson. Department of Electrical, Computer, and Energy Engineering University of Colorado, Boulder R. W. Erickson Department of Electrical, Computer, and Energy Engineering University of Colorado, Boulder 18.2.2 DCM flyback converter v ac i ac EMI filter i g v g Flyback converter n : 1 L D 1 i v C R

More information

1MHz, 3A Synchronous Step-Down Switching Voltage Regulator

1MHz, 3A Synchronous Step-Down Switching Voltage Regulator FEATURES Guaranteed 3A Output Current Efficiency up to 94% Efficiency up to 80% at Light Load (10mA) Operate from 2.8V to 5.5V Supply Adjustable Output from 0.8V to VIN*0.9 Internal Soft-Start Short-Circuit

More information

CHAPTER 3 APPLICATION OF THE CIRCUIT MODEL FOR PHOTOVOLTAIC ENERGY CONVERSION SYSTEM

CHAPTER 3 APPLICATION OF THE CIRCUIT MODEL FOR PHOTOVOLTAIC ENERGY CONVERSION SYSTEM 63 CHAPTER 3 APPLICATION OF THE CIRCUIT MODEL FOR PHOTOVOLTAIC ENERGY CONVERSION SYSTEM 3.1 INTRODUCTION The power output of the PV module varies with the irradiation and the temperature and the output

More information

CHAPTER 3 MAXIMUM POWER TRANSFER THEOREM BASED MPPT FOR STANDALONE PV SYSTEM

CHAPTER 3 MAXIMUM POWER TRANSFER THEOREM BASED MPPT FOR STANDALONE PV SYSTEM 60 CHAPTER 3 MAXIMUM POWER TRANSFER THEOREM BASED MPPT FOR STANDALONE PV SYSTEM 3.1 INTRODUCTION Literature reports voluminous research to improve the PV power system efficiency through material development,

More information

1.5MHz, 800mA, High-Efficiency PWM Synchronous Step-Down Converter

1.5MHz, 800mA, High-Efficiency PWM Synchronous Step-Down Converter 1.5MHz, 800mA, High-Efficiency PWM Synchronous Step-Down Converter Description The is a high efficiency, low-noise, DC-DC step-down pulse width modulated (PWM) converter that goes automatically into PFM

More information

R. W. Erickson. Department of Electrical, Computer, and Energy Engineering University of Colorado, Boulder

R. W. Erickson. Department of Electrical, Computer, and Energy Engineering University of Colorado, Boulder R. W. Erickson Department of Electrical, Computer, and Energy Engineering University of Colorado, Boulder 6.3.5. Boost-derived isolated converters A wide variety of boost-derived isolated dc-dc converters

More information

Chapter 2 Buck PWM DC DC Converter

Chapter 2 Buck PWM DC DC Converter Chapter 2 Buck PWM DC DC Converter H. Wang, Power Management and High-speed I/O in CMOS Systems 1/25 Buck Circuit and Its equivalent circuits CCM: continuous conduction mode DCM: discontinuous conduction

More information

Lecture 4 ECEN 4517/5517

Lecture 4 ECEN 4517/5517 Lecture 4 ECEN 4517/5517 Experiment 3 weeks 2 and 3: interleaved flyback and feedback loop Battery 12 VDC HVDC: 120-200 VDC DC-DC converter Isolated flyback DC-AC inverter H-bridge v ac AC load 120 Vrms

More information

Another Compensator Design Example

Another Compensator Design Example Another Compensator Design Example + V g i L (t) + L + _ f s = 1 MHz Dead-time control PWM 1/V M duty-cycle command Compensator G c c( (s) C error Point-of-Load Synchronous Buck Regulator + I out R _ +

More information

Lecture 8 ECEN 4517/5517

Lecture 8 ECEN 4517/5517 Lecture 8 ECEN 4517/5517 Experiment 4 Lecture 7: Step-up dcdc converter and PWM chip Lecture 8: Design of analog feedback loop Part I Controller IC: Demonstrate operating PWM controller IC (UC 3525) Part

More information

55:141 Advanced Circuit Techniques Switching Regulators

55:141 Advanced Circuit Techniques Switching Regulators 55:141 Advanced Circuit Techniques Switching Regulators Material: ecture Notes, Handouts, and Sections of Chapter 11 of Franco A. Kruger 55:141: Advanced Circuit Techniques The University of Iowa Switching

More information

CHAPTER 2 A SERIES PARALLEL RESONANT CONVERTER WITH OPEN LOOP CONTROL

CHAPTER 2 A SERIES PARALLEL RESONANT CONVERTER WITH OPEN LOOP CONTROL 14 CHAPTER 2 A SERIES PARALLEL RESONANT CONVERTER WITH OPEN LOOP CONTROL 2.1 INTRODUCTION Power electronics devices have many advantages over the traditional power devices in many aspects such as converting

More information

ANP012. Contents. Application Note AP2004 Buck Controller

ANP012. Contents. Application Note AP2004 Buck Controller Contents 1. AP004 Specifications 1.1 Features 1. General Description 1. Pin Assignments 1.4 Pin Descriptions 1.5 Block Diagram 1.6 Absolute Maximum Ratings. Hardware.1 Introduction. Typical Application.

More information

CHAPTER 7 HARDWARE IMPLEMENTATION

CHAPTER 7 HARDWARE IMPLEMENTATION 168 CHAPTER 7 HARDWARE IMPLEMENTATION 7.1 OVERVIEW In the previous chapters discussed about the design and simulation of Discrete controller for ZVS Buck, Interleaved Boost, Buck-Boost, Double Frequency

More information

Testing and Stabilizing Feedback Loops in Today s Power Supplies

Testing and Stabilizing Feedback Loops in Today s Power Supplies Keywords Venable, frequency response analyzer, impedance, injection transformer, oscillator, feedback loop, Bode Plot, power supply design, open loop transfer function, voltage loop gain, error amplifier,

More information

VOLTAGE MODE CONTROL OF SOFT SWITCHED BOOST CONVERTER BY TYPE II & TYPE III COMPENSATOR

VOLTAGE MODE CONTROL OF SOFT SWITCHED BOOST CONVERTER BY TYPE II & TYPE III COMPENSATOR 1002 VOLTAGE MODE CONTROL OF SOFT SWITCHED BOOST CONVERTER BY TYPE II & TYPE III COMPENSATOR NIKITA SINGH 1 ELECTRONICS DESIGN AND TECHNOLOGY, M.TECH NATIONAL INSTITUTE OF ELECTRONICS AND INFORMATION TECHNOLOGY

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION 1 CHAPTER 1 INTRODUCTION 1.1 INTRODUCTION Pulse Skipping Modulation (PSM) is a control technique and a DC-DC converter under PSM control has significant merits such as constant frequency operation, absence

More information

EUP V/12V Synchronous Buck PWM Controller DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit. 1

EUP V/12V Synchronous Buck PWM Controller DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit. 1 5V/12V Synchronous Buck PWM Controller DESCRIPTION The is a high efficiency, fixed 300kHz frequency, voltage mode, synchronous PWM controller. The device drives two low cost N-channel MOSFETs and is designed

More information

Chapter 3 HARD SWITCHED PUSH-PULL TOPOLOGY

Chapter 3 HARD SWITCHED PUSH-PULL TOPOLOGY 35 Chapter 3 HARD SWITCHED PUSH-PULL TOPOLOGY S.No. Name of the Sub-Title Page No. 3.1 Introduction 36 3.2 Single Output Push Pull Converter 36 3.3 Multi-Output Push-Pull Converter 37 3.4 Closed Loop Simulation

More information

Modelling and Simulation of Closed Loop. Controlled DC-DC Converter Fed Solenoid Coil

Modelling and Simulation of Closed Loop. Controlled DC-DC Converter Fed Solenoid Coil Contemporary Engineering Sciences, Vol. 7, 2014, no. 5, 207-217 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2014.31168 Modelling and Simulation of Closed Loop Controlled DC-DC Converter

More information

Design and Simulation of Synchronous Buck Converter for Microprocessor Applications

Design and Simulation of Synchronous Buck Converter for Microprocessor Applications Design and Simulation of Synchronous Buck Converter for Microprocessor Applications Lakshmi M Shankreppagol 1 1 Department of EEE, SDMCET,Dharwad, India Abstract: The power requirements for the microprocessor

More information

A HIGH RELIABILITY SINGLE-PHASE BOOST RECTIFIER SYSTEM FOR DIFFERENT LOAD VARIATIONS. Prasanna Srikanth Polisetty

A HIGH RELIABILITY SINGLE-PHASE BOOST RECTIFIER SYSTEM FOR DIFFERENT LOAD VARIATIONS. Prasanna Srikanth Polisetty GRT A HIGH RELIABILITY SINGLE-PHASE BOOST RECTIFIER SYSTEM FOR DIFFERENT LOAD VARIATIONS Prasanna Srikanth Polisetty Department of Electrical and Electronics Engineering, Newton s College of Engineering

More information

Introduction to Modeling of Switched Mode Power Converters Using MATLAB and Simulink

Introduction to Modeling of Switched Mode Power Converters Using MATLAB and Simulink Introduction to Modeling of Switched Mode Power Converters Using MATLAB and Simulink Extensive introductory tutorials for MATLAB and Simulink, including Control Systems Toolbox and Simulink Control Design

More information

4.5V to 32V Input High Current LED Driver IC For Buck or Buck-Boost Topology CN5816. Features: SHDN COMP OVP CSP CSN

4.5V to 32V Input High Current LED Driver IC For Buck or Buck-Boost Topology CN5816. Features: SHDN COMP OVP CSP CSN 4.5V to 32V Input High Current LED Driver IC For Buck or Buck-Boost Topology CN5816 General Description: The CN5816 is a current mode fixed-frequency PWM controller for high current LED applications. The

More information

International Research Journal of Power and Energy Engineering. Vol. 3(2), pp , November, ISSN: x

International Research Journal of Power and Energy Engineering. Vol. 3(2), pp , November, ISSN: x International Research Journal of Power and Energy Engineering Vol. 3(2), pp. 112-117, November, 2017. www.premierpublishers.org, ISSN: 3254-1213x IRJPEE Conference Paper Small Signal Modelling and Controller

More information

LECTURE 40 Introduction to Converter Dynamics A. AC Model Construction 1. Actual Switch mode Non-Linear System 2. Small AC Models by two Analytical

LECTURE 40 Introduction to Converter Dynamics A. AC Model Construction 1. Actual Switch mode Non-Linear System 2. Small AC Models by two Analytical LECTURE 40 Introduction to Converter Dynamics A. AC Model Construction 1. Actual Switch mode Non-Linear System 2. Small AC Models by two Analytical Paths a. Circuit averaging over T s b. State space Averaging

More information

2A, 23V, 380KHz Step-Down Converter

2A, 23V, 380KHz Step-Down Converter 2A, 23V, 380KHz Step-Down Converter General Description The is a buck regulator with a built-in internal power MOSFET. It achieves 2A continuous output current over a wide input supply range with excellent

More information

EEL 646 POWER ELECTRONICS II. Issa Batarseh. January 13, 2015

EEL 646 POWER ELECTRONICS II. Issa Batarseh. January 13, 2015 EEL 646 POWER ELECTRONICS II Issa Batarseh January 13, 2015 Agenda About the course Syllabus Review Course Topics Review of Power Electronics I Questions Introduction (cont d) Introduction (cont d) 5

More information

Experiment DC-DC converter

Experiment DC-DC converter POWER ELECTRONIC LAB Experiment-7-8-9 DC-DC converter Power Electronics Lab Ali Shafique, Ijhar Khan, Dr. Syed Abdul Rahman Kashif 10/11/2015 This manual needs to be completed before the mid-term examination.

More information

Digital Control Technologies for Switching Power Converters

Digital Control Technologies for Switching Power Converters Digital Control Technologies for Switching Power Converters April 3, 2012 Dr. Yan-Fei Liu, Professor Department of Electrical and Computer Engineering Queen s University, Kingston, ON, Canada yanfei.liu@queensu.ca

More information

DEVELOPMENT OF A FOUR QUADRANT DC-DC SEPIC CONVERTER MASTER OF SCIENCE IN ELECTRICAL AND ELECTRONIC ENGINEERING BUET

DEVELOPMENT OF A FOUR QUADRANT DC-DC SEPIC CONVERTER MASTER OF SCIENCE IN ELECTRICAL AND ELECTRONIC ENGINEERING BUET DEVELOPMENT OF A FOUR QUADRANT DC-DC SEPIC CONVERTER By MD. MAIDUL ISLAM MASTER OF SCIENCE IN ELECTRICAL AND ELECTRONIC ENGINEERING BUET DEPARTMENT OF ELECTRICAL AND ELECTRONIC ENGINEERING BANGLADESH UNIVERSITY

More information

Peak Current Mode Control Stability Analysis & Design. George Kaminski Senior System Application Engineer September 28, 2018

Peak Current Mode Control Stability Analysis & Design. George Kaminski Senior System Application Engineer September 28, 2018 Peak Current Mode Control Stability Analysis & Design George Kaminski Senior System Application Engineer September 28, 208 Agenda 2 3 4 5 6 7 8 Goals & Scope Peak Current Mode Control (Peak CMC) Modeling

More information

Fundamentals of Power Electronics

Fundamentals of Power Electronics Fundamentals of Power Electronics SECOND EDITION Robert W. Erickson Dragan Maksimovic University of Colorado Boulder, Colorado Preface 1 Introduction 1 1.1 Introduction to Power Processing 1 1.2 Several

More information

Using Sipex PWM Controllers for Boost Conversion

Using Sipex PWM Controllers for Boost Conversion Solved by APPLICATION NOTE ANP1 Introduction: Sipex PWM controllers can be configured in boost mode to provide efficient and cost effective solutions. Circuit operation and design procedure are explained

More information

Fixed Frequency Control vs Constant On-Time Control of Step-Down Converters

Fixed Frequency Control vs Constant On-Time Control of Step-Down Converters Fixed Frequency Control vs Constant On-Time Control of Step-Down Converters Voltage-mode/Current-mode vs D-CAP2 /D-CAP3 Spandana Kocherlakota Systems Engineer, Analog Power Products 1 Contents Abbreviation/Acronym

More information

R. W. Erickson. Department of Electrical, Computer, and Energy Engineering University of Colorado, Boulder

R. W. Erickson. Department of Electrical, Computer, and Energy Engineering University of Colorado, Boulder R. W. Erickson Department of Electrical, Computer, and Energy Engineering University of Colorado, Boulder 17.1 The single-phase full-wave rectifier i g i L L D 4 D 1 v g Z i C v R D 3 D 2 Full-wave rectifier

More information

DESCRIPTION FEATURES APPLICATIONS TYPICAL APPLICATION. 500KHz, 18V, 2A Synchronous Step-Down Converter

DESCRIPTION FEATURES APPLICATIONS TYPICAL APPLICATION. 500KHz, 18V, 2A Synchronous Step-Down Converter DESCRIPTION The is a fully integrated, high-efficiency 2A synchronous rectified step-down converter. The operates at high efficiency over a wide output current load range. This device offers two operation

More information

WD3122EC. Descriptions. Features. Applications. Order information. High Efficiency, 28 LEDS White LED Driver. Product specification

WD3122EC. Descriptions. Features. Applications. Order information. High Efficiency, 28 LEDS White LED Driver. Product specification High Efficiency, 28 LEDS White LED Driver Descriptions The is a constant current, high efficiency LED driver. Internal MOSFET can drive up to 10 white LEDs in series and 3S9P LEDs with minimum 1.1A current

More information

New Techniques for Testing Power Factor Correction Circuits

New Techniques for Testing Power Factor Correction Circuits Keywords Venable, frequency response analyzer, impedance, injection transformer, oscillator, feedback loop, Bode Plot, power supply design, power factor correction circuits, current mode control, gain

More information

Switched Mode Power Conversion Prof. L. Umanand Department of Electronics Systems Engineering Indian Institute of Science, Bangalore

Switched Mode Power Conversion Prof. L. Umanand Department of Electronics Systems Engineering Indian Institute of Science, Bangalore Switched Mode Power Conversion Prof. L. Umanand Department of Electronics Systems Engineering Indian Institute of Science, Bangalore Lecture -1 Introduction to DC-DC converter Good day to all of you, we

More information

Complex Dynamic Phenomena in Power Converters: Bifurcation Analysis and Chaotic Behavior

Complex Dynamic Phenomena in Power Converters: Bifurcation Analysis and Chaotic Behavior Complex Dynamic Phenomena in Power Converters: Bifurcation Analysis and Chaotic Behavior DONATO CAFAGNA, GIUSEPPE GRASSI Dipartimento Ingegneria Innovazione Università di Lecce via Monteroni, 700 Lecce

More information

55:141 Advanced Circuit Techniques Switching Regulators

55:141 Advanced Circuit Techniques Switching Regulators 55:141 Advanced Circuit Techniques Switching Regulators Material: ecture Notes, Handouts, and Sections of Chapter 11 of Franco A. Kruger 55:141: Advanced Circuit Techniques The University of Iowa Switching

More information

Designing and Implementing of 72V/150V Closed loop Boost Converter for Electoral Vehicle

Designing and Implementing of 72V/150V Closed loop Boost Converter for Electoral Vehicle International Journal of Current Engineering and Technology E-ISSN 77 4106, P-ISSN 347 5161 017 INPRESSCO, All Rights Reserved Available at http://inpressco.com/category/ijcet Research Article Designing

More information

DESIGN OF COMPENSATOR FOR DC-DC BUCK CONVERTER

DESIGN OF COMPENSATOR FOR DC-DC BUCK CONVERTER DESIGN OF COMPENSATOR FOR DC-DC BUCK CONVERTER RAMYA H.S, SANGEETHA.K, SHASHIREKHA.M, VARALAKSHMI.K. SUPRIYA.P, ASSISTANT PROFESSOR Department of Electrical & Electronics Engineering, BNM Institute Of

More information

Power Management for Computer Systems. Prof. C Wang

Power Management for Computer Systems. Prof. C Wang ECE 5990 Power Management for Computer Systems Prof. C Wang Fall 2010 Course Outline Fundamental of Power Electronics cs for Computer Systems, Handheld Devices, Laptops, etc More emphasis in DC DC converter

More information

MICROCONTROLLER BASED BOOST PID MUNAJAH BINTI MOHD RUBAEE

MICROCONTROLLER BASED BOOST PID MUNAJAH BINTI MOHD RUBAEE MICROCONTROLLER BASED BOOST PID MUNAJAH BINTI MOHD RUBAEE This thesis is submitted as partial fulfillment of the requirement for the award of Bachelor of Electrical Engineering (Power System) Faculty of

More information

CONTENTS. Chapter 1. Introduction to Power Conversion 1. Basso_FM.qxd 11/20/07 8:39 PM Page v. Foreword xiii Preface xv Nomenclature

CONTENTS. Chapter 1. Introduction to Power Conversion 1. Basso_FM.qxd 11/20/07 8:39 PM Page v. Foreword xiii Preface xv Nomenclature Basso_FM.qxd 11/20/07 8:39 PM Page v Foreword xiii Preface xv Nomenclature xvii Chapter 1. Introduction to Power Conversion 1 1.1. Do You Really Need to Simulate? / 1 1.2. What You Will Find in the Following

More information

Practical Control Design for Power Supplies. Power Seminar 2004/2005

Practical Control Design for Power Supplies. Power Seminar 2004/2005 Practical Control Design for Power Supplies Power Seminar 24/25 Practical Control Design for Power Supplies Refresher on closed loop feedback Special features of switch mode power supplies Stabilization

More information

An Accurate and Practical Small-Signal Model for Current-Mode Control

An Accurate and Practical Small-Signal Model for Current-Mode Control An Accurate and Practical Small-Signal Model for Current-Mode Control ABSTRACT Past models of current-mode control have sufferered from either insufficient accuracy to properly predict the effects of current-mode

More information

E Typical Application and Component Selection AN 0179 Jan 25, 2017

E Typical Application and Component Selection AN 0179 Jan 25, 2017 1 Typical Application and Component Selection 1.1 Step-down Converter and Control System Understanding buck converter and control scheme is essential for proper dimensioning of external components. E522.41

More information

CHAPTER 3 CUK CONVERTER BASED MPPT SYSTEM USING ADAPTIVE PAO ALGORITHM

CHAPTER 3 CUK CONVERTER BASED MPPT SYSTEM USING ADAPTIVE PAO ALGORITHM 52 CHAPTER 3 CUK CONVERTER BASED MPPT SYSTEM USING ADAPTIVE PAO ALGORITHM 3.1 INTRODUCTION The power electronics interface, connected between a solar panel and a load or battery bus, is a pulse width modulated

More information

Boundary Mode Offline LED Driver Using MP4000. Application Note

Boundary Mode Offline LED Driver Using MP4000. Application Note The Future of Analog IC Technology AN046 Boundary Mode Offline LED Driver Using MP4000 Boundary Mode Offline LED Driver Using MP4000 Application Note Prepared by Zheng Luo March 25, 2011 AN046 Rev. 1.0

More information

idesyn id8802 2A, 23V, Synchronous Step-Down DC/DC

idesyn id8802 2A, 23V, Synchronous Step-Down DC/DC 2A, 23V, Synchronous Step-Down DC/DC General Description Applications The id8802 is a 340kHz fixed frequency PWM synchronous step-down regulator. The id8802 is operated from 4.5V to 23V, the generated

More information

The steeper the phase shift as a function of frequency φ(ω) the more stable the frequency of oscillation

The steeper the phase shift as a function of frequency φ(ω) the more stable the frequency of oscillation It should be noted that the frequency of oscillation ω o is determined by the phase characteristics of the feedback loop. the loop oscillates at the frequency for which the phase is zero The steeper the

More information

Chapter 4 SOFT SWITCHED PUSH-PULL CONVERTER WITH OUTPUT VOLTAGE DOUBLER

Chapter 4 SOFT SWITCHED PUSH-PULL CONVERTER WITH OUTPUT VOLTAGE DOUBLER 61 Chapter 4 SOFT SWITCHED PUSH-PULL CONVERTER WITH OUTPUT VOLTAGE DOUBLER S.No. Name of the Sub-Title Page No. 4.1 Introduction 62 4.2 Single output primary ZVS push-pull Converter 62 4.3 Multi-Output

More information

CHAPTER 4 DESIGN OF CUK CONVERTER-BASED MPPT SYSTEM WITH VARIOUS CONTROL METHODS

CHAPTER 4 DESIGN OF CUK CONVERTER-BASED MPPT SYSTEM WITH VARIOUS CONTROL METHODS 68 CHAPTER 4 DESIGN OF CUK CONVERTER-BASED MPPT SYSTEM WITH VARIOUS CONTROL METHODS 4.1 INTRODUCTION The main objective of this research work is to implement and compare four control methods, i.e., PWM

More information

Magnetic Levitation System

Magnetic Levitation System Magnetic Levitation System Electromagnet Infrared LED Phototransistor Levitated Ball Magnetic Levitation System K. Craig 1 Magnetic Levitation System Electromagnet Emitter Infrared LED i Detector Phototransistor

More information

Fig.1. A Block Diagram of dc-dc Converter System

Fig.1. A Block Diagram of dc-dc Converter System ANALYSIS AND SIMULATION OF BUCK SWITCH MODE DC TO DC POWER REGULATOR G. C. Diyoke Department of Electrical and Electronics Engineering Michael Okpara University of Agriculture, Umudike Umuahia, Abia State

More information

BUCK Converter Control Cookbook

BUCK Converter Control Cookbook BUCK Converter Control Cookbook Zach Zhang, Alpha & Omega Semiconductor, Inc. A Buck converter consists of the power stage and feedback control circuit. The power stage includes power switch and output

More information

Wide Input Voltage Boost Controller

Wide Input Voltage Boost Controller Wide Input Voltage Boost Controller FEATURES Fixed Frequency 1200kHz Voltage-Mode PWM Operation Requires Tiny Inductors and Capacitors Adjustable Output Voltage up to 38V Up to 85% Efficiency Internal

More information

DC/DC Converter. Introduction

DC/DC Converter. Introduction DC/DC Converter Introduction This example demonstrates the use of Saber in the design of a DC/DC power converter. The converter is assumed to be a part of a larger system and is modeled at different levels

More information

PT MHz, 600mA Synchronous Step-Down DC-DC Converter

PT MHz, 600mA Synchronous Step-Down DC-DC Converter GENERAL DESCRIPTION The PT0 is a high efficiency monolithic current mode synchronous buck regulator with a constant operation frequency. A main switch and a synchronous switch are integrated in PT0, the

More information

Practical Testing Techniques For Modern Control Loops

Practical Testing Techniques For Modern Control Loops VENABLE TECHNICAL PAPER # 16 Practical Testing Techniques For Modern Control Loops Abstract: New power supply designs are becoming harder to measure for gain margin and phase margin. This measurement is

More information

In association with International Journal Scientific Research in Science and Technology

In association with International Journal Scientific Research in Science and Technology 1st International Conference on Applied Soft Computing Techniques 22 & 23.04.2017 In association with International Journal of Scientific Research in Science and Technology Design and implementation of

More information

Bridgeless Cuk Power Factor Corrector with Regulated Output Voltage

Bridgeless Cuk Power Factor Corrector with Regulated Output Voltage Bridgeless Cuk Power Factor Corrector with Regulated Output Voltage Ajeesh P R 1, Prof. Dinto Mathew 2, Prof. Sera Mathew 3 1 PG Scholar, 2,3 Professors, Department of Electrical and Electronics Engineering,

More information

Design of controller for Cuk converter using Evolutionary algorithm via Model Order Reduction

Design of controller for Cuk converter using Evolutionary algorithm via Model Order Reduction Volume 114 No. 8 217, 297-37 ISSN: 1311-88 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu Design of controller for Cuk converter using Evolutionary algorithm via

More information

TFT-LCD DC/DC Converter with Integrated Backlight LED Driver

TFT-LCD DC/DC Converter with Integrated Backlight LED Driver TFT-LCD DC/DC Converter with Integrated Backlight LED Driver Description The is a step-up current mode PWM DC/DC converter (Ch-1) built in an internal 1.6A, 0.25Ω power N-channel MOSFET and integrated

More information

FEATURES DESCRIPTION APPLICATIONS PACKAGE REFERENCE

FEATURES DESCRIPTION APPLICATIONS PACKAGE REFERENCE DESCRIPTION The is a monolithic synchronous buck regulator. The device integrates 100mΩ MOSFETS that provide 2A continuous load current over a wide operating input voltage of 4.75V to 25V. Current mode

More information

Positive to Negative Buck-Boost Converter Using LM267X SIMPLE SWITCHER Regulators

Positive to Negative Buck-Boost Converter Using LM267X SIMPLE SWITCHER Regulators Positive to Negative Buck-Boost Converter Using LM267X SIMPLE SWITCHER Regulators Abstract The 3rd generation Simple Switcher LM267X series of regulators are monolithic integrated circuits with an internal

More information

Vishay Siliconix AN724 Designing A High-Frequency, Self-Resonant Reset Forward DC/DC For Telecom Using Si9118/9 PWM/PSM Controller.

Vishay Siliconix AN724 Designing A High-Frequency, Self-Resonant Reset Forward DC/DC For Telecom Using Si9118/9 PWM/PSM Controller. AN724 Designing A High-Frequency, Self-Resonant Reset Forward DC/DC For Telecom Using Si9118/9 PWM/PSM Controller by Thong Huynh FEATURES Fixed Telecom Input Voltage Range: 30 V to 80 V 5-V Output Voltage,

More information

IJESRT. Scientific Journal Impact Factor: (ISRA), Impact Factor: [Chakradhar et al., 3(6): June, 2014] ISSN:

IJESRT. Scientific Journal Impact Factor: (ISRA), Impact Factor: [Chakradhar et al., 3(6): June, 2014] ISSN: IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Development of TMS320F2810 DSP Based Bidirectional buck-boost Chopper Mr. K.S. Chakradhar *1, M.Ayesha siddiqa 2, T.Vandhana 3,

More information

1.5MHz, 1.5A Step-Down Converter

1.5MHz, 1.5A Step-Down Converter 1.5MHz, 1.5A Step-Down Converter General Description The is a 1.5MHz constant frequency current mode PWM step-down converter. It is ideal for portable equipment which requires very high current up to 1.5A

More information

Analyzing The Effect Of Voltage Drops On The DC Transfer Function Of The Buck Converter

Analyzing The Effect Of Voltage Drops On The DC Transfer Function Of The Buck Converter ISSUE: May 208 Analyzing The Effect Of oltage Drops On The DC Transfer Function Of The Buck Converter by Christophe Basso, ON Semiconductor, Toulouse, France Switching converters combine passive elements

More information

R. W. Erickson. Department of Electrical, Computer, and Energy Engineering University of Colorado, Boulder

R. W. Erickson. Department of Electrical, Computer, and Energy Engineering University of Colorado, Boulder R. W. Erickson Department of Electrical, Computer, and Energy Engineering University of Colorado, Boulder Construction of transfer function v 2 (s) v (s) = Z 2Z Z Z 2 Z = Z out Z R C Z = L Q = R /R 0 f

More information

Chapter 2 MODELING AND CONTROL OF PEBB BASED SYSTEMS

Chapter 2 MODELING AND CONTROL OF PEBB BASED SYSTEMS Chapter 2 MODELING AND CONTROL OF PEBB BASED SYSTEMS 2.1 Introduction The PEBBs are fundamental building cells, integrating state-of-the-art techniques for large scale power electronics systems. Conventional

More information

CHAPTER 2 PID CONTROLLER BASED CLOSED LOOP CONTROL OF DC DRIVE

CHAPTER 2 PID CONTROLLER BASED CLOSED LOOP CONTROL OF DC DRIVE 23 CHAPTER 2 PID CONTROLLER BASED CLOSED LOOP CONTROL OF DC DRIVE 2.1 PID CONTROLLER A proportional Integral Derivative controller (PID controller) find its application in industrial control system. It

More information

EM5301. Pin Assignment

EM5301. Pin Assignment 5V/2V Synchronous Buck PWM Controller General Description is a synchronous rectified PWM controller operating with 5V or 2V supply voltage. This device operates at 200/300/500 khz and provides an optimal

More information

RT9209/A. Synchronous Buck PWM DC-DC with Enable & PGOOD. Preliminary. Features. General Description. Applications. Ordering Information

RT9209/A. Synchronous Buck PWM DC-DC with Enable & PGOOD. Preliminary. Features. General Description. Applications. Ordering Information Preliminary Synchronous Buck PWM DC-DC with Enable & PGOOD General Description The is a single power supply PWM DC-DC converter controller designed to drive N-Channel MOSFET in a synchronous buck topology.

More information

FEATURES. Efficiency (%)

FEATURES. Efficiency (%) GENERAL DESCRIPTION The PT4105 is a step-down DC/DC converter designed to operate as a high current LED driver. The PT4105 uses a voltage mode, fixed frequency architecture that guarantees stable operation

More information

UNIT 2. Q.1) Describe the functioning of standard signal generator. Ans. Electronic Measurements & Instrumentation

UNIT 2. Q.1) Describe the functioning of standard signal generator. Ans.   Electronic Measurements & Instrumentation UNIT 2 Q.1) Describe the functioning of standard signal generator Ans. STANDARD SIGNAL GENERATOR A standard signal generator produces known and controllable voltages. It is used as power source for the

More information

180KHz, 5A Step-down Converter With Cable Dropout Compensation

180KHz, 5A Step-down Converter With Cable Dropout Compensation 180KHz, 5A Step-down Converter With Cable Dropout Compensation General Description The is a compact, high efficiency, high speed synchronous monolithic step-down switching regulator designed to power 5V

More information

is demonstrated by considering the conduction resistances and their voltage drop in DCM. This paper presents DC and small-signal circuit models of the

is demonstrated by considering the conduction resistances and their voltage drop in DCM. This paper presents DC and small-signal circuit models of the Average Model of Boost Converter, including Parasitics, operating in Discontinuous Conduction Mode (DCM) Haytham Abdelgawad and Vijay Sood Faculty of Engineering and Applied Science, University of Ontario

More information

CHAPTER 6 DIGITAL INSTRUMENTS

CHAPTER 6 DIGITAL INSTRUMENTS CHAPTER 6 DIGITAL INSTRUMENTS 1 LECTURE CONTENTS 6.1 Logic Gates 6.2 Digital Instruments 6.3 Analog to Digital Converter 6.4 Electronic Counter 6.6 Digital Multimeters 2 6.1 Logic Gates 3 AND Gate The

More information

Today: DCDC additional topics

Today: DCDC additional topics Today: DCDC additional topics Review voltage loop design Power MOSFET: another power semiconductor switch Emerging power semiconductor devices technologies Introduction to thermal management Conclusions

More information

Small signal modeling and steady state stability analysis of PWM based switch model Boost converter using Pspise

Small signal modeling and steady state stability analysis of PWM based switch model Boost converter using Pspise Small signal modeling and steady state stability analysis of PWM based switch model Boost converter using Pspise Mrs. Swapna Manurkar Assistant Professor, Electrical Engineering, Vishwaniketan s Institute

More information

Lecture 41 SIMPLE AVERAGING OVER T SW to ACHIEVE LOW FREQUENCY MODELS

Lecture 41 SIMPLE AVERAGING OVER T SW to ACHIEVE LOW FREQUENCY MODELS Lecture 41 SIMPLE AVERAGING OVER T SW to ACHIEVE LOW FREQUENCY MODELS. Goals and Methodology to Get There 0. Goals 0. Methodology. BuckBoost and Other Converter Models 0. Overview of Methodology 0. Example

More information

High Speed PWM Controller

High Speed PWM Controller High Speed PWM Controller application INFO available FEATURES Compatible with Voltage or Current Mode Topologies Practical Operation Switching Frequencies to 1MHz 50ns Propagation Delay to Output High

More information

3A, 23V, 380KHz Step-Down Converter

3A, 23V, 380KHz Step-Down Converter 3A, 23V, 380KHz Step-Down Converter General Description The is a buck regulator with a built in internal power MOSFET. It achieves 3A continuous output current over a wide input supply range with excellent

More information

AIC2858 F. 3A 23V Synchronous Step-Down Converter

AIC2858 F. 3A 23V Synchronous Step-Down Converter 3A 23V Synchronous Step-Down Converter FEATURES 3A Continuous Output Current Programmable Soft Start 00mΩ Internal Power MOSFET Switches Stable with Low ESR Output Ceramic Capacitors Up to 95% Efficiency

More information

ELEC387 Power electronics

ELEC387 Power electronics ELEC387 Power electronics Jonathan Goldwasser 1 Power electronics systems pp.3 15 Main task: process and control flow of electric energy by supplying voltage and current in a form that is optimally suited

More information