Ultrafast and selective reduction of sidewall roughness in silicon waveguides using self-perfection by liquefaction

Size: px
Start display at page:

Download "Ultrafast and selective reduction of sidewall roughness in silicon waveguides using self-perfection by liquefaction"

Transcription

1 IOP PUBLISHING Nanotechnology 20 (2009) (5pp) NANOTECHNOLOGY doi: / /20/34/ Ultrafast and selective reduction of sidewall roughness in silicon waveguides using self-perfection by liquefaction Qiangfei Xia, Patrick F Murphy, He Gao and Stephen Y Chou NanoStructure Laboratory, Department of Electrical Engineering, Princeton University, Princeton, NJ 08544, USA chou@princeton.edu Received 13 May 2009, in final form 9 July 2009 Published 4 August 2009 Online at stacks.iop.org/nano/20/ Abstract We use a novel technique, self-perfection by liquefaction (SPEL), to smooth the rough sidewalls of Si waveguides. An XeCl excimer laser with 308 nm wavelength and 20 ns pulse duration is used to selectively melt the surface layer of the waveguide. This molten layer flows under surface tension and this results in smooth sidewalls upon resolidification. Our experimental results show that this technique reduces the average sidewall roughness (1σ )from13to3nm. Our calculations show that the waveguide transmission loss due to sidewall roughness in these waveguides would be reduced from 53 to 3 db cm 1, an improvement with light transmission five orders of magnitude greater. Due to a low viscosity of molten Si (below water), SPEL can be achieved on a Si surface within 100 ns. This short time, together with SPEL s material selectivity, makes it possible to repair defective components on a chip without damaging surrounding components and materials, making SPEL a promising candidate for defect repair in integrated optics and nanophotonics. (Some figures in this article are in colour only in the electronic version) 1. Introduction Integrating optical components on a chip with high packing density using existing Si fabrication technology is currently under extensive research [1]. Silicon waveguides are attractive for guiding optical signals for several reasons. First, the high-index difference between Si and surrounding materials (e.g., n is approximately 2 for Si/SiO 2 ) results in low losses at waveguide bends, and thus allows for denser packing of integrated optical components. Second, current Si planar technology makes fabrication of nanoscale waveguides (and thus nanoscale optical systems) easy to achieve. However, as device feature sizes shrink, geometric defects introduced in the fabrication process will become increasingly prominent and will seriously degrade light propagation properties. Sidewall roughness of a waveguide is one of the typical defects caused by fabrication. Roughness can greatly increase light scattering, leading to significant light transmission loss. Such scattering becomes more severe in narrow waveguide, since a significant portion of propagating wave leaks out of the waveguide. The fabrication caused surface roughness is inevitable since it is due to the intrinsic noise in the lithography and etching processes. Many efforts have been devoted to reduce the sidewall roughness to improve the performance of optical components. These methods include anisotropic wet etching [2, 3], thermal oxidation [4], and thermal oxidation in combination with wet etching [3, 5]. However, these methods are either limited to certain crystalline facets of semiconductor materials, or often involve harsh processing conditions, high temperatures or complicated steps. For example, anisotropic wet etch only applies to certain crystalline semiconductor materials, particularly (100) and (110) silicon; thermal oxidation is incompatible with materials which cannot withstand high temperatures. More importantly, these roughness reduction methods are not selective, which means that other components and/or materials on the same chip that are not supposed to be processed at the same time will be affected. As integrated circuit technology advances, more components and materials that serve different functions will be integrated into one single chip, each requiring different, /09/ $ IOP Publishing Ltd Printed in the UK

2 perhaps incompatible, processing procedures. This requires a fabrication method with high selectivity to prevent damaging surrounding components. Previously, CO 2 lasers have been used to smoothing optical components such as toroid-shaped microresonators [6] and a silicon rich oxide waveguide [7], however, the relatively long processing time and the long wavelength (10.6 μm) will introduce adverse thermal effects on underneath materials and/or surrounding components. In this paper, we report a novel method that can repair the sidewall roughness of Si waveguides. The method, coined self-perfection by liquefaction (SPEL) [8], repairs the defects of a structure by selectively and rapidly heating the structure into a molten state, at which point the material flows under surface tension to remove the defects. Using SPEL, we reduced the average sidewall roughness of a silicon waveguide from 13 to3nm(1σ ), leading to five orders of magnitude increase in light transmission for a 1 cm long waveguide. This process is finished within 100 ns, and only the surface layer is melted, while other parts of the chip remains at a low temperature without being affected. 2. Experiment Both microscale waveguides and nanoscale lines were fabricated. For microscale waveguides fabrication, it began with a bonded silicon on insulator (SOI) wafer which has a 1.5 μm thick silicon device layer on a 1 μm thick buried oxide layer. First, a thin layer of thermal SiO 2 was grown on the Si surface. HMDS and AZ5214 photoresist were spin-coated on the wafer at a spinning speed of 4000 rpm, followed by a soft bake on a 95 C hot plate for 1 min. Waveguides with a width ranging from 4 to 10 μm were defined on the photoresist using a photomask and an optical contact lithography aligner. After development, a 20 nm thick Cr layer was evaporated using an electron beam evaporator, followed by liftoff in warm acetone. The top SiO 2 layer was etched through using fluorine based reactive ion etch (RIE), while the silicon layer was etched using chlorine base RIE. After RIE, the wafer was briefly dipped in diluted HF solution to remove the top oxide layer and to lift off the Cr. The fabricated waveguides were cleaned at 70 C in a solution of 1 part of NH 4 OH, 1 part of H 2 O 2 and 5 parts of deionized H 2 O for 15 min (RCA #1). For nanoscale lines, the fabrication procedures were similar to those for microscale waveguides except that nanoimprint lithography [9] was used instead of photolithography for patterning, and the substrate used was a SIMOX (separation by implantation of oxygen) SOI wafer with 190 nm Si device layer and 380 nm thick buried oxide layer. SPEL was carried out using an XeCl excimer laser with 308 nm wavelength and 20 ns pulse duration. The laser spot is about 3 mm 3 mm, and the laser pulse energy can be changed by adjusting a variable attenuator. A continuous wave HeNe laser (λ = 633 nm) used for alignment was incident with an angle on the sample at the spot which is going to be exposed to the excimer laser. In order to measure the molten time during which the smoothing took place, the reflected HeNe laser signal from the sample was collected by a high speed Si pin photodetector (Newport, 818-BB-21A) and sent to an Figure 1. (a) A 4 μm wide Si waveguide on SiO 2 with rough sidewalls was smoothed, (b) after exposure to 20 laser pulses of 900 mj cm 2 at a repetition rate of 1 Hz. oscilloscope (Tektronix, TDS-220) for analysis. Before and after SPEL, the Si waveguides were imaged using a scanning electron microscope (SEM). And the SEM images were used for line edge roughness analysis. 3. Results For microscale waveguide, we use multiple pulses for best results. For example, the rough side wall of a 4 μm wide waveguide (figure 1(a)) was smoothed out after exposure to 20 pulses (900 mj cm 2 for each pulse) with a repetition rate of 1 Hz (figure 1(b)). For nanoscale lines, a single laser pulse is enough to smooth the sidewall. Figure 2(a) is the as-etched 250 nm wide Si lines on SiO 2. After SPEL using a single pulse of 490 mj cm 2, the sidewalls are smoothed (figure 2(b)). It should be noticed that in both figures 1 and 2, the cross-section of the Si waveguides are changed from square to hemisphere. This might be helpful in optical coupling between the Si waveguides and round optical fibers. However, in the case when the square profile needs to be preserved, we have succeeded in fabricating flat-top Si waveguides using capped SPEL (C-SPEL) by preventing the laser from directly heating the waveguide top surface or by holding the molten top surface using a blank plate [8]. The roughness was quantified using a digitized analysis from SEM images using digital image processing software. We obtained high magnification top-view SEM images of several processed and unprocessed waveguides. We then analyzed 2

3 Figure 3. Roughness profile along the length direction of a 4 μm wide Si waveguide on SiO 2 before (a) and after (b) self-perfection (SPEL). The 1σ line edge roughness in (a) and (b) are 13 and 3 nm, respectively. Figure nm wide Si gratings on SiO 2 with rough sidewall (a) were smoothed upon a single laser pulse exposure (b) at a laser fluence of 490 mj cm 2. The gratings were made on an SOI wafer with a 190 nm thick Si layer on a 380 nm thick SiO 2 layer. these digital images with a simple edge detection algorithm implemented in Matlab. For a 4 μm wide Si waveguide, the sidewall profiles are shown in figure 3. It is found that the 1σ roughness was reduced from 13 to 3 nm. In order to estimate the effect of roughness on the light propagation, we calculated the light transmission loss in a 500 nm wide, 200 nm high Si waveguide as a function of different sidewall roughness and roughness autocorrelation lengths. We use a well-known model [10] for calculating the effect of the sidewall roughness characteristics on scattering loss that assumes that the roughness has an exponential autocorrelation function, an assumption that is claimed to be justifiable theoretically and experimentally [3, 11]. Figure 4(a) shows that the waveguide transmission loss reduces with smaller autocorrelation length and smoother surface. Figure 4(b) plots the loss as a function of waveguide sidewall roughness for a 200 nm high Si waveguide with a width of half and quarter wavelength used in telecommunication (i.e., 1.55 μm), assuming a roughness autocorrelation length of 5 nm. It indicates that for a 220 nm wide waveguide, a reduction of roughness from 13 to 3 nm can result in a decrease of propagation loss from 53 to 3 db cm 1. This means the transmitted power could increase by 5 orders of magnitude. To study the time that Si is in a molten state ( molten time ) for a successful SPEL, we measured the Si molten time as the function of laser fluence, using a time-resolved reflectivity technique (figure 5). This technique is based on the fact the molten Si has a higher reflectivity than its solid phase [12], and thus the signal of a second laser beam (HeNe is used here) reflected from the Si is greater when the Si is molten. As shown in figure 5, the molten time is a linear function of laser fluence, and is ranging from 15 to 220 ns in our experiments depending on the substrate. Comparing the smoothing results in figure 2, it shows that 50 ns molten time is sufficient for smoothing out typical edge roughness in a nanoscale waveguide. Although the laser spot is only 3 mm 3mminsize, larger coverage area has been achieved by a step and repeat exposure system [13]. In that study, an overlap of about 200 μm was used between each pulse for full area coverage. Our experimental results showed that smoothing results in the overlapping area has no difference with those which have experienced only a single pulse [13]. This fact suggests that no rigorous alignment is required in SPEL for large area and makes the process simple to implement. Also, as long as the laser fluence is high enough to melt the surface layer, there is a fluence window of about 25% for the nanoscale Si gratings. This finding indicates that SPEL has a high tolerance for energy fluctuation from pulse to pulse which is usually a problem for gas lasers. 4. Discussion Comparing our waveguide smoothing technique with others, it can be found that there are several advantages of SPEL. First, this process is ultrafast, usually takes less than 200 ns to finish for Si. Second, this process is highly selective. Both are essential in order to repair the sidewall roughness without 3

4 (a) (b) Figure 5. Measured molten time as a function of laser fluence for Si and SOI. The SOI wafer has a buried oxide layer of 380 nm and Si device layer of 190 nm. Figure 4. (a) Calculated waveguide loss contours for a Si waveguide of 200 nm high and 500 nm wide. (b) Calculated waveguide transmission loss versus the roughness for Si waveguides with a width of half (220 nm) and quarter (110 nm) wavelength. In this calculation, the waveguides are 200 nm high with an autocorrelation length of 5 nm. The free space wavelength used is 1.55 μm. damaging or destroying other elements or materials on a chip. Third, this technique can be used for large area by a step and repeat exposing system. The ultrafast speed of SPEL (<200 ns) is due to the fact that molten Si has a low viscosity and reasonably high surface tension. SPEL is achieved by the surface tension which drives the liquid flow to minimize the surface energy, resulting in a smooth surface. According to a simplified 2D model for rough surface leveling [14], the smoothing time is proportional to the surface tension of the liquid, while is inversely proportional to the viscosity. Molten Si has a surface tension about 780 mn m 1 [15], and a viscosity about 0.57 mpa s [16], while surface tension for a polymer (e.g., PMMA melt) is about 30 mn m 1 [17] and viscosity is on the order of several hundreds to thousands Pa s, depending on the molecular weight and temperature [18]. These result in a smoothing time about five orders of magnitude shorter for SPEL of Si than that for isothermal smoothing of polymeric materials. In addition to shortening the processing time, this means less damage to the materials underneath since the absorption to such a short laser pulse will be in the surface layer. The selectivity of SPEL is twofold. First, it is materials selective. The heating in SPEL is performed by a pulsed laser, which is absorbed by only a thin surface layer of the materials with a band gap smaller than the photon energy (in this case, Si) [19], so that the other materials on the surface or underneath will be kept at much lower temperature during SPEL (SiO 2 and materials beneath it). Unlike smoothing techniques that use thermal oxidation, SPEL avoids a global isothermal high temperature process. Second, this process is area selective. The laser spot is about 3 mm 3 mm and it can be adjusted within a certain range. This allows us to selectively expose the area with defects while leave other components intact. The advantages of SPEL will be more and more prominent as waveguide sizes shrink to allow for only transmission of a single optical mode. As the waveguide width and thickness are further reduced below a half of wavelength in order to increase the waveguide packing density, the effect of sidewall roughness in increasing transmission loss becomes greater, and SPEL will become increasingly important to achieve high performance integrated optical circuits. In addition, as chips become ever more densely integrated and hybridized with optical, electronic, and other functions, chip fabrication technology must keep pace. SPEL is an excellent candidate for highly selective fabrication of ultrasmooth surfaces. 5. Summary We present the use of SPEL to smooth the sidewall roughness of waveguides. Our experimental results showed that with this technique, waveguide with rough sidewall could be smoothed, and the standard deviation of the surface roughness 4

5 was reduced from 13 to 3 nm. With this reduction in the sidewall roughness, the Si waveguide transmission loss was decreased from 53 to 3 db cm 1 (an improvement of 5 orders of magnitude) according to our calculation. SPEL has demonstrated as an ultrafast, highly selective method to smooth the sidewall roughness of a silicon waveguide. These advantages will be increasingly important when the size of silicon waveguides shrink for higher density nanophotonics. Acknowledgments This work is supported in part by the US Defense Advanced Research Program Agency (DARPA) and the Office of Naval Research (ONR). References [1] Kimerling L C et al 2004 Silicon Photonics (Topics in Applied Physics) vol 94, ed L Pavesi and D J Lockwood (Berlin: Springer) p 89 [2] Tsumita N, Melngailis J, Hawryluk A M and Smith H I 1981 J. Vac. Sci. Technol [3] Lee K K, Lim D R, Kimerling L C, Shin J and Cerrina F 2001 Opt. Lett [4] Arentoft J, Sondergaard T, Kristensen M, Boltasseva A, Thorhauge M and Frandsen L 2002 Electron. Lett [5] Juan W H and Pang S W 1996 J. Vac. Sci. Technol. B [6] Armani D K, Kippenberg T J, Spillane S M and Vahala K J 2003 Nature [7] den Hertog M I 2005 Master Thesis FOM-Institute AMOLF (Amsterdam) [8] Chou S Y and Xia Q F 2008 Nat. Nanotechnol [9] Chou S Y, Krauss P R and Renstrom P J 1996 Science [10] Payne F P and Lacey J P R 1994 Opt. Quantum Electron [11] Ladouceur F and Love J D 1995 IEE Proc. Optoelectron [12] Chou S Y, Keimel C and Gu J 2002 Nature [13] Xia Q F and Chou S Y 2008 Nanotechnology [14] Orchard S E 1962 Appl. Sci. Res. A [15] Przyborowski M, Hibiya T, Eguchi M and Egry I 1995 J. Cryst. Growth [16] Sato Y, Kameda Y, Nagasawa T, Sakamoto T, Moriguchi S, Yamamura T and Waseda Y 2003 J. Cryst. Growth [17] Brandrup J and Immergut E H (ed) 1989 Polymer Handbook 3rd edn (New York: Wiley) [18] Heyderman L J, Schift H, David C, Gobrecht J and Schweizer T 2000 Microelectron. Eng [19] Silvesrelli P L, Alavi A, Parrinello M and Frenkel D 1996 Phys. Rev. Lett

Quantized patterning using nanoimprinted blanks

Quantized patterning using nanoimprinted blanks IOP PUBLISHING Nanotechnology 20 (2009) 155303 (7pp) Quantized patterning using nanoimprinted blanks NANOTECHNOLOGY doi:10.1088/0957-4484/20/15/155303 Stephen Y Chou 1, Wen-Di Li and Xiaogan Liang NanoStructure

More information

Propagation loss study of very compact GaAs/AlGaAs substrate removed waveguides

Propagation loss study of very compact GaAs/AlGaAs substrate removed waveguides Propagation loss study of very compact GaAs/AlGaAs substrate removed waveguides JaeHyuk Shin, Yu-Chia Chang and Nadir Dagli * Electrical and Computer Engineering Department, University of California at

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626 OPTI510R: Photonics Khanh Kieu College of Optical Sciences, University of Arizona kkieu@optics.arizona.edu Meinel building R.626 Announcements Homework #3 is due today No class Monday, Feb 26 Pre-record

More information

Fabrication of Silicon Master Using Dry and Wet Etching for Optical Waveguide by Thermal Embossing Technique

Fabrication of Silicon Master Using Dry and Wet Etching for Optical Waveguide by Thermal Embossing Technique Sensors and Materials, Vol. 18, No. 3 (2006) 125 130 MYU Tokyo 125 S & M 0636 Fabrication of Silicon Master Using Dry and Wet Etching for Optical Waveguide by Thermal Embossing Technique Jung-Hun Kim,

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Silicon Photonic Device Based on Bragg Grating Waveguide

Silicon Photonic Device Based on Bragg Grating Waveguide Silicon Photonic Device Based on Bragg Grating Waveguide Hwee-Gee Teo, 1 Ming-Bin Yu, 1 Guo-Qiang Lo, 1 Kazuhiro Goi, 2 Ken Sakuma, 2 Kensuke Ogawa, 2 Ning Guan, 2 and Yong-Tsong Tan 2 Silicon photonics

More information

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Robert. B. Bass, Jian. Z. Zhang and Aurthur. W. Lichtenberger Department of Electrical Engineering, University of

More information

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs

Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs Integrated Focusing Photoresist Microlenses on AlGaAs Top-Emitting VCSELs Andrea Kroner We present 85 nm wavelength top-emitting vertical-cavity surface-emitting lasers (VCSELs) with integrated photoresist

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Chapter 3 Fabrication

Chapter 3 Fabrication Chapter 3 Fabrication The total structure of MO pick-up contains four parts: 1. A sub-micro aperture underneath the SIL The sub-micro aperture is used to limit the final spot size from 300nm to 600nm for

More information

Waveguiding in PMMA photonic crystals

Waveguiding in PMMA photonic crystals ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 12, Number 3, 2009, 308 316 Waveguiding in PMMA photonic crystals Daniela DRAGOMAN 1, Adrian DINESCU 2, Raluca MÜLLER2, Cristian KUSKO 2, Alex.

More information

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

Fabrication of a submicron patterned using an electrospun single fiber as mask. Author(s)Ishii, Yuya; Sakai, Heisuke; Murata,

Fabrication of a submicron patterned using an electrospun single fiber as mask. Author(s)Ishii, Yuya; Sakai, Heisuke; Murata, JAIST Reposi https://dspace.j Title Fabrication of a submicron patterned using an electrospun single fiber as mask Author(s)Ishii, Yuya; Sakai, Heisuke; Murata, Citation Thin Solid Films, 518(2): 647-650

More information

Optics Communications

Optics Communications Optics Communications 283 (2010) 3678 3682 Contents lists available at ScienceDirect Optics Communications journal homepage: www.elsevier.com/locate/optcom Ultra-low-loss inverted taper coupler for silicon-on-insulator

More information

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology Micro/Nanosystems Technology Dr. Dirk Meyners Prof. Wagner 1 Outline - Lithography Overview - UV-Lithography - Resolution Enhancement Techniques - Electron Beam Lithography - Patterning with Focused Ion

More information

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging Christophe Kopp, St ephane Bernab e, Badhise Ben Bakir,

More information

Precision microcomb design and fabrication for x-ray optics assembly

Precision microcomb design and fabrication for x-ray optics assembly Precision microcomb design and fabrication for x-ray optics assembly Yanxia Sun, a) Ralf K. Heilmann, b) Carl G. Chen, Craig R. Forest, and Mark L. Schattenburg Space Nanotechnology Laboratory, Center

More information

A thin foil optical strain gage based on silicon-on-insulator microresonators

A thin foil optical strain gage based on silicon-on-insulator microresonators A thin foil optical strain gage based on silicon-on-insulator microresonators D. Taillaert* a, W. Van Paepegem b, J. Vlekken c, R. Baets a a Photonics research group, Ghent University - INTEC, St-Pietersnieuwstraat

More information

Horizontal single and multiple slot waveguides: optical transmission at λ = 1550 nm

Horizontal single and multiple slot waveguides: optical transmission at λ = 1550 nm Horizontal single and multiple slot waveguides: optical transmission at λ = 1550 nm Rong Sun 1 *, Po Dong 2 *, Ning-ning Feng 1, Ching-yin Hong 1, Jurgen Michel 1, Michal Lipson 2, Lionel Kimerling 1 1Department

More information

Photonic Crystal Slot Waveguide Spectrometer for Detection of Methane

Photonic Crystal Slot Waveguide Spectrometer for Detection of Methane Photonic Crystal Slot Waveguide Spectrometer for Detection of Methane Swapnajit Chakravarty 1, Wei-Cheng Lai 2, Xiaolong (Alan) Wang 1, Che-Yun Lin 2, Ray T. Chen 1,2 1 Omega Optics, 10306 Sausalito Drive,

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Room-temperature continuous-wave electrically injected InGaN-based laser directly grown on Si Authors: Yi Sun 1,2, Kun Zhou 1, Qian Sun 1 *, Jianping Liu 1, Meixin Feng 1, Zengcheng Li 1, Yu Zhou 1, Liqun

More information

Loss Reduction in Silicon Nanophotonic Waveguide Micro-bends Through Etch Profile Improvement

Loss Reduction in Silicon Nanophotonic Waveguide Micro-bends Through Etch Profile Improvement Loss Reduction in Silicon Nanophotonic Waveguide Micro-bends Through Etch Profile Improvement Shankar Kumar Selvaraja, Wim Bogaerts, Dries Van Thourhout Photonic research group, Department of Information

More information

Optical Isolation Can Occur in Linear and Passive Silicon Photonic Structures

Optical Isolation Can Occur in Linear and Passive Silicon Photonic Structures Optical Isolation Can Occur in Linear and Passive Silicon Photonic Structures Chen Wang and Zhi-Yuan Li Laboratory of Optical Physics, Institute of Physics, Chinese Academy of Sciences, P. O. Box 603,

More information

2. Pulsed Acoustic Microscopy and Picosecond Ultrasonics

2. Pulsed Acoustic Microscopy and Picosecond Ultrasonics 1st International Symposium on Laser Ultrasonics: Science, Technology and Applications July 16-18 2008, Montreal, Canada Picosecond Ultrasonic Microscopy of Semiconductor Nanostructures Thomas J GRIMSLEY

More information

CHIRPED FIBER BRAGG GRATING (CFBG) BY ETCHING TECHNIQUE FOR SIMULTANEOUS TEMPERATURE AND REFRACTIVE INDEX SENSING

CHIRPED FIBER BRAGG GRATING (CFBG) BY ETCHING TECHNIQUE FOR SIMULTANEOUS TEMPERATURE AND REFRACTIVE INDEX SENSING CHIRPED FIBER BRAGG GRATING (CFBG) BY ETCHING TECHNIQUE FOR SIMULTANEOUS TEMPERATURE AND REFRACTIVE INDEX SENSING Siti Aisyah bt. Ibrahim and Chong Wu Yi Photonics Research Center Department of Physics,

More information

CHAPTER 2 Principle and Design

CHAPTER 2 Principle and Design CHAPTER 2 Principle and Design The binary and gray-scale microlens will be designed and fabricated. Silicon nitride and photoresist will be taken as the material of the microlens in this thesis. The design

More information

On-chip Si-based Bragg cladding waveguide with high index contrast bilayers

On-chip Si-based Bragg cladding waveguide with high index contrast bilayers On-chip Si-based Bragg cladding waveguide with high index contrast bilayers Yasha Yi, Shoji Akiyama, Peter Bermel, Xiaoman Duan, and L. C. Kimerling Massachusetts Institute of Technology, 77 Massachusetts

More information

SILICON NANOWIRE HYBRID PHOTOVOLTAICS

SILICON NANOWIRE HYBRID PHOTOVOLTAICS SILICON NANOWIRE HYBRID PHOTOVOLTAICS Erik C. Garnett, Craig Peters, Mark Brongersma, Yi Cui and Mike McGehee Stanford Univeristy, Department of Materials Science, Stanford, CA, USA ABSTRACT Silicon nanowire

More information

Realization of Polarization-Insensitive Optical Polymer Waveguide Devices

Realization of Polarization-Insensitive Optical Polymer Waveguide Devices 644 Realization of Polarization-Insensitive Optical Polymer Waveguide Devices Kin Seng Chiang,* Sin Yip Cheng, Hau Ping Chan, Qing Liu, Kar Pong Lor, and Chi Kin Chow Department of Electronic Engineering,

More information

Design and fabrication of indium phosphide air-bridge waveguides with MEMS functionality

Design and fabrication of indium phosphide air-bridge waveguides with MEMS functionality Design and fabrication of indium phosphide air-bridge waveguides with MEMS functionality Wing H. Ng* a, Nina Podoliak b, Peter Horak b, Jiang Wu a, Huiyun Liu a, William J. Stewart b, and Anthony J. Kenyon

More information

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER As we discussed in chapter 1, silicon photonics has received much attention in the last decade. The main reason is

More information

Fabrication Techniques of Optical ICs

Fabrication Techniques of Optical ICs Fabrication Techniques of Optical ICs Processing Techniques Lift off Process Etching Process Patterning Techniques Photo Lithography Electron Beam Lithography Photo Resist ( Microposit MP1300) Electron

More information

FINDINGS. REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck. Figure 1

FINDINGS. REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck. Figure 1 FINDINGS REU Student: Philip Garcia Graduate Student Mentor: Anabil Chaudhuri Faculty Mentor: Steven R. J. Brueck A. Results At the Center for High Tech Materials at the University of New Mexico, my work

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Lecture 13 Basic Photolithography

Lecture 13 Basic Photolithography Lecture 13 Basic Photolithography Chapter 12 Wolf and Tauber 1/64 Announcements Homework: Homework 3 is due today, please hand them in at the front. Will be returned one week from Thursday (16 th Nov).

More information

Supplementary information for Stretchable photonic crystal cavity with

Supplementary information for Stretchable photonic crystal cavity with Supplementary information for Stretchable photonic crystal cavity with wide frequency tunability Chun L. Yu, 1,, Hyunwoo Kim, 1, Nathalie de Leon, 1,2 Ian W. Frank, 3 Jacob T. Robinson, 1,! Murray McCutcheon,

More information

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm Resolution of optical systems depends on the wavelength visible light λ = 500 nm Spatial Resolution = k λ NA EUV and SXR microscopy can potentially resolve full-field images with 10-100x smaller features

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

KNIFE-EDGE RIGHT-ANGLE PRISM MIRRORS

KNIFE-EDGE RIGHT-ANGLE PRISM MIRRORS KNIFE-EDGE RIGHT-ANGLE PRISM MIRRORS Precision Cut Prisms Feature Bevel-Free 90 Angle Dielectric, Silver, Gold, and Aluminum Coatings Available 25 mm x 25 mm Faces Application Idea MRAK25-M01 Mounted on

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

2D silicon-based surface-normal vertical cavity photonic crystal waveguide array for high-density optical interconnects

2D silicon-based surface-normal vertical cavity photonic crystal waveguide array for high-density optical interconnects 2D silicon-based surface-normal vertical cavity photonic crystal waveguide array for high-density optical interconnects JaeHyun Ahn a, Harish Subbaraman b, Liang Zhu a, Swapnajit Chakravarty b, Emanuel

More information

Soft Electronics Enabled Ergonomic Human-Computer Interaction for Swallowing Training

Soft Electronics Enabled Ergonomic Human-Computer Interaction for Swallowing Training Supplementary Information Soft Electronics Enabled Ergonomic Human-Computer Interaction for Swallowing Training Yongkuk Lee 1,+, Benjamin Nicholls 2,+, Dong Sup Lee 1, Yanfei Chen 3, Youngjae Chun 3,4,

More information

This writeup is adapted from Fall 2002, final project report for by Robert Winsor.

This writeup is adapted from Fall 2002, final project report for by Robert Winsor. Optical Waveguides in Andreas G. Andreou This writeup is adapted from Fall 2002, final project report for 520.773 by Robert Winsor. September, 2003 ABSTRACT This lab course is intended to give students

More information

Optical MEMS pressure sensor based on a mesa-diaphragm structure

Optical MEMS pressure sensor based on a mesa-diaphragm structure Optical MEMS pressure sensor based on a mesa-diaphragm structure Yixian Ge, Ming WanJ *, and Haitao Yan Jiangsu Key Lab on Opto-Electronic Technology, School of Physical Science and Technology, Nanjing

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

Micro- and Nano- Fabrication and Replication Techniques

Micro- and Nano- Fabrication and Replication Techniques Micro- and Nano- Fabrication and Replication Techniques Why do we have to write thing small and replicate fast? Plenty of Room at the Bottom Richard P. Feynman, December 1959 How do we write it? We have

More information

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 69 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array Roland Jäger and Christian Jung We have designed and fabricated

More information

Diffraction, Fourier Optics and Imaging

Diffraction, Fourier Optics and Imaging 1 Diffraction, Fourier Optics and Imaging 1.1 INTRODUCTION When wave fields pass through obstacles, their behavior cannot be simply described in terms of rays. For example, when a plane wave passes through

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information

Plane wave excitation by taper array for optical leaky waveguide antenna

Plane wave excitation by taper array for optical leaky waveguide antenna LETTER IEICE Electronics Express, Vol.15, No.2, 1 6 Plane wave excitation by taper array for optical leaky waveguide antenna Hiroshi Hashiguchi a), Toshihiko Baba, and Hiroyuki Arai Graduate School of

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

Development of Nanoimprint Mold Using JBX-9300FS

Development of Nanoimprint Mold Using JBX-9300FS Development of Nanoimprint Mold Using JBX-9300FS Morihisa Hoga, Mikio Ishikawa, Naoko Kuwahara Tadahiko Takikawa and Shiho Sasaki Dai Nippon Printing Co., Ltd Research & Development Center Electronic Device

More information

Supplement: Fabrication protocol

Supplement: Fabrication protocol Supplement: Fabrication protocol The present series of protocols details how to fabricate both silica microsphere and microtoroid resonant cavities. While silica microsphere resonant cavities are wellestablished,

More information

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith 9. Interference Lithography Sponsors: National Science Foundation, DMR-0210321; Dupont Agreement 12/10/99 Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg,

More information

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils EG2605 Undergraduate Research Opportunities Program Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils Tan Chuan Fu 1, Jeroen Anton van Kan 2, Pattabiraman Santhana Raman 2, Yao

More information

InGaAsP photonic band gap crystal membrane microresonators*

InGaAsP photonic band gap crystal membrane microresonators* InGaAsP photonic band gap crystal membrane microresonators* A. Scherer, a) O. Painter, B. D Urso, R. Lee, and A. Yariv Caltech, Laboratory of Applied Physics, Pasadena, California 91125 Received 29 May

More information

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links Monolithically integrated InGaAs nanowires on 3D structured silicon-on-insulator as a new platform for full optical links Hyunseok Kim 1, Alan C. Farrell 1, Pradeep Senanayake 1, Wook-Jae Lee 1,* & Diana.

More information

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli Microphotonics Readiness for Commercial CMOS Manufacturing Marco Romagnoli MicroPhotonics Consortium meeting MIT, Cambridge October 15 th, 2012 Passive optical structures based on SOI technology Building

More information

Department of Microelectronics, Faculty of Electrical Engineering, CTU, Prague Technicka 2, Prague 6, Czech Republic 2

Department of Microelectronics, Faculty of Electrical Engineering, CTU, Prague Technicka 2, Prague 6, Czech Republic 2 Ročník 2011 Číslo IV Design and Modeling of the ENR Polymer Microring Resonators Add/Drop Filter for Wavelength Division Multiplexing V. Prajzler 1, E. Strilek 1, I. Huttel 2, J. Spirkova 2, V. Jurka 3

More information

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index.

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index. absorption, 69 active tuning, 234 alignment, 394 396 apodization, 164 applications, 7 automated optical probe station, 389 397 avalanche detector, 268 back reflection, 164 band structures, 30 bandwidth

More information

Hybrid Integration Technology of Silicon Optical Waveguide and Electronic Circuit

Hybrid Integration Technology of Silicon Optical Waveguide and Electronic Circuit Hybrid Integration Technology of Silicon Optical Waveguide and Electronic Circuit Daisuke Shimura Kyoko Kotani Hiroyuki Takahashi Hideaki Okayama Hiroki Yaegashi Due to the proliferation of broadband services

More information

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801 Comparative study of self-aligned and nonself-aligned SiGe p-metal oxide semiconductor modulation-doped field effect transistors with nanometer gate lengths Wu Lu Department of Electrical and Computer

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

Tunable Color Filters Based on Metal-Insulator-Metal Resonators

Tunable Color Filters Based on Metal-Insulator-Metal Resonators Chapter 6 Tunable Color Filters Based on Metal-Insulator-Metal Resonators 6.1 Introduction In this chapter, we discuss the culmination of Chapters 3, 4, and 5. We report a method for filtering white light

More information

Two bit optical analog-to-digital converter based on photonic crystals

Two bit optical analog-to-digital converter based on photonic crystals Two bit optical analog-to-digital converter based on photonic crystals Binglin Miao, Caihua Chen, Ahmed Sharkway, Shouyuan Shi, and Dennis W. Prather University of Delaware, Newark, Delaware 976 binglin@udel.edu

More information

Device Fabrication: Photolithography

Device Fabrication: Photolithography Device Fabrication: Photolithography 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

Supporting Information 1. Experimental

Supporting Information 1. Experimental Supporting Information 1. Experimental The position markers were fabricated by electron-beam lithography. To improve the nanoparticle distribution when depositing aqueous Ag nanoparticles onto the window,

More information

Nanofabrication technologies: high-throughput for tomorrow s metadevices

Nanofabrication technologies: high-throughput for tomorrow s metadevices Nanofabrication technologies: high-throughput for tomorrow s metadevices Rob Eason Ben Mills, Matthias Feinaugle, Dan Heath, David Banks, Collin Sones, James Grant-Jacob, Ioannis Katis. Fabrication fundamentals

More information

Energy beam processing and the drive for ultra precision manufacturing

Energy beam processing and the drive for ultra precision manufacturing Energy beam processing and the drive for ultra precision manufacturing An Exploration of Future Manufacturing Technologies in Response to the Increasing Demands and Complexity of Next Generation Smart

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

Etching Small Samples and the Effects of Using a Carrier Wafer STS ICP-RIE

Etching Small Samples and the Effects of Using a Carrier Wafer STS ICP-RIE Etching Small Samples and the Effects of Using a Carrier Wafer STS ICP-RIE This note is a brief description of the effects of bonding pieces to a carrier wafer during the etch process on the STS ICP-RIE.

More information

Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers

Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers Iulian Codreanu and Glenn D. Boreman We report on the influence of the dielectric substrate

More information

Fabrication of suspended micro-structures using diffsuser lithography on negative photoresist

Fabrication of suspended micro-structures using diffsuser lithography on negative photoresist Journal of Mechanical Science and Technology 22 (2008) 1765~1771 Journal of Mechanical Science and Technology www.springerlink.com/content/1738-494x DOI 10.1007/s12206-008-0601-8 Fabrication of suspended

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Vertical nanowire electrode arrays as a scalable platform for intracellular interfacing to neuronal circuits Jacob T. Robinson, 1* Marsela Jorgolli, 2* Alex K. Shalek, 1 Myung-Han Yoon, 1 Rona S. Gertner,

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

High-efficiency, high-speed VCSELs with deep oxidation layers

High-efficiency, high-speed VCSELs with deep oxidation layers Manuscript for Review High-efficiency, high-speed VCSELs with deep oxidation layers Journal: Manuscript ID: Manuscript Type: Date Submitted by the Author: Complete List of Authors: Keywords: Electronics

More information

Chapter 2 Silicon Planar Processing and Photolithography

Chapter 2 Silicon Planar Processing and Photolithography Chapter 2 Silicon Planar Processing and Photolithography The success of the electronics industry has been due in large part to advances in silicon integrated circuit (IC) technology based on planar processing,

More information

A BASIC EXPERIMENTAL STUDY OF CAST FILM EXTRUSION PROCESS FOR FABRICATION OF PLASTIC MICROLENS ARRAY DEVICE

A BASIC EXPERIMENTAL STUDY OF CAST FILM EXTRUSION PROCESS FOR FABRICATION OF PLASTIC MICROLENS ARRAY DEVICE A BASIC EXPERIMENTAL STUDY OF CAST FILM EXTRUSION PROCESS FOR FABRICATION OF PLASTIC MICROLENS ARRAY DEVICE Chih-Yuan Chang and Yi-Min Hsieh and Xuan-Hao Hsu Department of Mold and Die Engineering, National

More information

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley Technische Universität Graz Institute of Solid State Physics Lithography Peter Hadley http://www.cleanroom.byu.edu/virtual_cleanroom.parts/lithography.html http://www.cleanroom.byu.edu/su8.phtml Spin coater

More information

Pulsed Laser Ablation of Polymers for Display Applications

Pulsed Laser Ablation of Polymers for Display Applications Pulsed Laser Ablation of Polymers for Display Applications James E.A Pedder 1, Andrew S. Holmes 2, Heather J. Booth 1 1 Oerlikon Optics UK Ltd, Oxford Industrial Estate, Yarnton, Oxford, OX5 1QU, UK 2

More information

Super-resolution imaging through a planar silver layer

Super-resolution imaging through a planar silver layer Super-resolution imaging through a planar silver layer David O. S. Melville and Richard J. Blaikie MacDiarmid Institute for Advanced Materials and Nanotechnology, Department of Electrical and Computer

More information

Silicon-based photonic crystal nanocavity light emitters

Silicon-based photonic crystal nanocavity light emitters Silicon-based photonic crystal nanocavity light emitters Maria Makarova, Jelena Vuckovic, Hiroyuki Sanda, Yoshio Nishi Department of Electrical Engineering, Stanford University, Stanford, CA 94305-4088

More information

160MER, Austin, TX-78758, USA ABSTRACT 1. INTRODUCTION

160MER, Austin, TX-78758, USA ABSTRACT 1. INTRODUCTION Group velocity independent coupling into slow light photonic crystal waveguide on silicon nanophotonic integrated circuits Che-Yun Lin* a, Xiaolong Wang a, Swapnajit Chakravarty b, Wei-Cheng Lai a, Beom

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi:0.038/nature727 Table of Contents S. Power and Phase Management in the Nanophotonic Phased Array 3 S.2 Nanoantenna Design 6 S.3 Synthesis of Large-Scale Nanophotonic Phased

More information

Femtosecond Laser Direct Writing of Optical Waveguides in Silicone Film

Femtosecond Laser Direct Writing of Optical Waveguides in Silicone Film Femtosecond Laser Direct Writing of Optical Waveguides in Silicone Film Susumu NAKAMURA Department of Electrical and Electronic Systems Engineering Nagaoka College of Technology, 888 Nishikatakai, Nagaoka,

More information

Si and InP Integration in the HELIOS project

Si and InP Integration in the HELIOS project Si and InP Integration in the HELIOS project J.M. Fedeli CEA-LETI, Grenoble ( France) ECOC 2009 1 Basic information about HELIOS HELIOS photonics ELectronics functional Integration on CMOS www.helios-project.eu

More information

On-chip interrogation of a silicon-on-insulator microring resonator based ethanol vapor sensor with an arrayed waveguide grating (AWG) spectrometer

On-chip interrogation of a silicon-on-insulator microring resonator based ethanol vapor sensor with an arrayed waveguide grating (AWG) spectrometer On-chip interrogation of a silicon-on-insulator microring resonator based ethanol vapor sensor with an arrayed waveguide grating (AWG) spectrometer Nebiyu A. Yebo* a, Wim Bogaerts, Zeger Hens b,roel Baets

More information

Novel use of GaAs as a passive Q-switch as well as an output coupler for diode-pumped infrared solid-state lasers

Novel use of GaAs as a passive Q-switch as well as an output coupler for diode-pumped infrared solid-state lasers Novel use of GaAs as a passive Q-switch as well as an output coupler for diode-pumped infrared solid-state lasers Jianhui Gu *a, Siu-Chung Tam a, Yee-Loy Lam a, Yihong Chen b, Chan-Hin Kam a, Wilson Tan

More information

Nanofluidic Diodes based on Nanotube Heterojunctions

Nanofluidic Diodes based on Nanotube Heterojunctions Supporting Information Nanofluidic Diodes based on Nanotube Heterojunctions Ruoxue Yan, Wenjie Liang, Rong Fan, Peidong Yang 1 Department of Chemistry, University of California, Berkeley, CA 94720, USA

More information

High Speed pin Photodetector with Ultra-Wide Spectral Responses

High Speed pin Photodetector with Ultra-Wide Spectral Responses High Speed pin Photodetector with Ultra-Wide Spectral Responses C. Tam, C-J Chiang, M. Cao, M. Chen, M. Wong, A. Vazquez, J. Poon, K. Aihara, A. Chen, J. Frei, C. D. Johns, Ibrahim Kimukin, Achyut K. Dutta

More information

Figure 1 Basic waveguide structure

Figure 1 Basic waveguide structure Recent Progress in SOI Nanophotonic Waveguides D. Van Thourhout, P. Dumon, W. Bogaerts, G. Roelkens, D. Taillaert, G. Priem, R. Baets IMEC-Ghent University, Department of Information Technology, St. Pietersnieuwstraat

More information