High-performance, Low-power, and Leakage-tolerance Challenges for Sub-70nm Microprocessor Circuits

Size: px
Start display at page:

Download "High-performance, Low-power, and Leakage-tolerance Challenges for Sub-70nm Microprocessor Circuits"

Transcription

1 ESSCIRC 22 High-performance, Low-power, and Leakage-tolerance Challenges for Sub-7nm Microprocessor Circuits Ram K. Krishnamurthy, Atila Alvandpour, Sanu Mathew, Mark Anders, Vivek De, Shekhar Borkar Microprocessor Research, Intel Labs Intel Corporation, Hillsboro, OR 97124, USA Abstract CMOS technology scaling is becoming difficult beyond 7nm node, raising new design challenges for highperformance and low-power microprocessors. This paper discusses some of the key paradigm shifts required. Circuit techniques to combat (i) increasing switching and leakage power dissipation, (ii) poor leakage tolerance of large-signal cache arrays and register files, (iii) worsening global on-chip interconnect scaling trend, and (iv) highperformance robust datapath circuits enabling up to 1GHz ALU and instruction scheduler loops in 13nm dual-vt CMOS technology are described. I. Introduction Performance demand of future generation microprocessors continues to grow, even as traditional CMOS technology scaling beyond 7nm node becomes increasingly difficult. This trend has motivated new paradigm shifts necessary to achieve the high-performance goals, while factoring in the power envelope, interconnect scaling, and leakageinduced limitations. Three key barriers - power consumption, leakage tolerance, and interconnect delays are addressed in this paper. Fig. 1 shows CPU power scaling trend, showing that power has been increasing at nearly 2.7x every two years. This can be attributed to the following: although capacitance has scaled by 3% per process generation, number of electrical switching nodes per unit area has doubled, die-size has grown by 14%, frequency has doubled and supply voltage has scaled by only 15% per generation. Further, active subthreshold (source-drain) and gate leakage currents have increased by 3-5X per generation due to threshold voltage and gateoxide scaling, contributing to significant portion of total CPU power in active mode (Fig. 2). Based on current scaling trends, total power is rapidly approaching the power-wall imposed by power delivery and thermal limitations of today s practical cost-effective cooling solutions. The increasing subthreshold and gate leakage currents with process scaling also degrades noise tolerance of dynamic circuits, especially wide-or like structures commonly employed in register file and cache array bitlines. Fig. 3 shows the bitline robustness (DC noise margin as fraction of supply voltage) scaling trend, indicating a rapid decline in sub-nm technologies, rendering conventional bitlines non-functional. Fig. 4 shows the wire-delay scaling trend for.25um to 5nm technologies [1]. The 3%+ increase in wire-delay per unit length has been compensated by reducing the repeater-insertion distance for global busses. With process scaling, this distance continues to drop, leading to an exponential increase in number of repeaters on-die and associated driver/repeater peak currents. These challenges, coupled with the continued demand for aggressive integer execution performance across server, desktop, and portable processor platforms, motivates robust solutions for high-speed datapath architectures and circuits. Single-ended dynamic ALU and instruction scheduler execution cluster loops enabling up to 1GHz operation in 13nm dual-vt CMOS technology are described. II. Switching Power Reduction Techniques Two of the most common techniques for switching power reduction are (i) lower supply voltage operation, and (ii) gating the unit/cluster-level clocks. Although dynamic power is known to be a quadratic function of supply voltage, CPU operating supply has scaled by only 15% per generation (not 3% as dictated by constant-field scaling predictions) in order to sustain high transistor performance, i.e., Vcc/Vt ratio. To achieve low-power benefits without compromising performance, two ways of lowering supply voltage can be employed: static and dynamic supply scaling. Fig. 5 shows the static supply scaling approach. Two supply voltages are employed: a regular, high supply for performance-critical functional units or clusters, e.g., integer and floating-point execution, and a secondary, lower supply voltage for the non-critical units or clusters. Interfacing between the two voltage domains requires static power free level converter circuits [2]. This approach requires an additional power grid and associated cost of decoupling capacitors. The secondary voltage may be generated off-chip [3] or regulated on-die from the core supply [4]. Dynamic supply scaling overrides the cost of using two supply voltages, by adapting the single supply voltage to performance demand. The highest supply voltage delivers the highest performance at the fastest designed frequency of operation. When performance demand is low, supply voltage and clock frequency is lowered, delivering reduced performance but with substantial power reduction [5]. This technique is particularly valuable in conserving battery life on mobile platforms. Clock gating is another valuable switching power reduction technique, exploiting the diverse switching 315

2 activities of various CPU functional units. When a unit is inactive for several clock cycles, unnecessary clock power wastage is avoided by shutting off the clock to that unit until reactivated. Since clock power is a substantial component of total CPU power, this technique potentially offers big power savings. Clearly, the power consumed by the logic that detects and activates the clock gating signals must be much lower than the savings achieved by clock gating, for this technique to be effective [6]. III. Leakage Power Reduction Techniques While the quadratic effect of voltage scaling on dynamic power is well known, leakage power dependence on supply voltage has not been exploited. Fig. 6 shows the impact of supply scaling on subthreshold leakage (V 3 ) and gate leakage (V 4 ) in a 1.2V,.13µm technology [7], indicating an even stronger benefit than dynamic power! In addition, two of the common leakage power reduction techniques are dual-vt usage [] and sleep transistors [9], [1]. Dual-Vt technology offers two flavors of transistors: a high threshold voltage (high-vt), and a relatively lower threshold voltage (low-vt) transistor. Fig. 7 shows high-vt and low-vt transistor leakage measurements in the 1.2V,.13µm dual-vt CMOS technology. As their names imply, the high-vt transistor is slower, but typically 1X lower active leakage. An all high-vt implementation would achieve the lowest leakage power, but slowest performance, whereas an all low-vt implementation achieves the converse. Effective dual-vt implementation involves selective insertion of high/low-vt transistors such that best performance (low-vt on critical paths) with lowest possible leakage (high-vt on non-critical paths) is achieved [11]. Sleep transistors or supply gating (Fig. ) is a technique similar to clock gating that selectively shuts off power supply to functional units during standby mode to save leakage power. The virtual Vcc and Gnd are connected to regular Vcc and Gnd rails during normal active-mode operation. During standby mode, the Sleep/Sleep signal is activated, disconnecting the virtual rails from their regular supplies, thus preventing a leakage current path between Vcc and Gnd..13µm studies on a 32-bit static CMOS adder show 145X standby leakage reduction for a 5.1% sleep transistor size and 6mV virtual supply bounce [12]. Sleep transistor size is typically large to minimize stack performance penalty, and therefore, turning them on/off consumes dynamic power. The leakage power savings should be carefully weighted against the dynamic power penalty, by evaluating the number of idle/standby cycles for which leakage power is saved. IV. Leakage-tolerant Techniques High fan-in, compact dynamic gates are often employed in performance-critical units of microprocessors, e.g., in register files and large-signal L caches. However, the use of wide dynamic gates is strongly impacted by leakage currents in sub-.13µm devices. In such a case, the keepers must compensate for large leakage currents without significant impact on the performance of the gates. Fig. 9(a) shows an example of a M-bit wide dynamic gate, with the standard keeper PK. The keeper is ON at the onset of the evaluation phase unconditionally. Large keepers cannot be used to compensate for leakage, since their contention severely degrades the performance. Further, as the size of register files and L caches continues to grow with technology scaling, the increasing number of bitcells per bitline aggravates the leakage tolerance problem. Two techniques to combat this problem are discussed: Firstly, a conditional keeper domino technique [13], where a large fraction of the keeper is turned ON only if the dynamic output remains High in the evaluation phase. Thus, strong keepers can be utilized with leaky gates without significant impact on performance of the gates. Secondly, a pseudo-static leakage-tolerant bitline technique [14], that enables -Vcc gate-source underdrive on the bitline NMOS pulldown transistors without gate-oxide overstress or routing additional bias voltages or control signals. Fig. 9(b) shows the conditional keeper-technique (CKP). It employs two keepers: A fixed keeper PK 1, and a conditional keeper PK 2. At the onset of the evaluation phase (Clock Low-to High), PK 1 is the only active keeper. After a delay-time, T keeper =T Delay element + T NAND, the keeper PK 2 is activated only if the dynamic output is still High. Knowing the worst-case time for a potential output Highto-Low transition, the highest performance can be achieved when PK 2 is activated close to or later than the worst-case clock-to-output transition, T MAX. The fixed keeper, PK 1 ensures sufficient robustness during the T keeper, which can be a small fraction of the clock phase. Compared to the standard keeper (PK ), the conditional keeper-technique can meet higher robustness at comparable performance, where W(PK 1 )~W(PK ), and the additional PK 2 is activated conditionally with negligible impact on performance (W is the width of the devices at fixed length). To meet higher performance at comparable robustness, the keepers can be sized such that W(PK ) = W(PK 1 )+ W(PK 2 ), where W(PK 1 )<< W(PK ) and W(PK 2 )< W(PK ). Fig. 1 shows the delay of, 16, and 32-bit wide bitlines with conditional keeper, normalized by the delay of the gates with the standard keeper. At T keeper ~T MAX, up to 35% less delays for 16 and 32-bit bitlines is observed. The pseudo-static bitline technique is geared at local bitlines (LBL) of register files and large-signal L caches. Fig. 11 shows a conventional LBL, where each LBL supports single-ended read on 16 cells with two-way merge via static NAND. Data from storage cell is read by two access transistors per word (M1 and M2), forming a dynamic 16-way OR. LBL dynamic OR s are susceptible to noise due to high active leakage during evaluate when 316

3 precharged domino node should stay high. Low-Vt on the domino pulldown NMOS transistors (M1 and M2) for LBL does not meet minimum noise margin floor. Fig. 12 shows the pseudo-static leakage tolerant LBL circuit with read-select and bit-cell data inputs swapped. Static PMOS sustainers Px precharge the stack nodes Vs to Vcc every cycle. A static 2-input NOR pre-conditions the data input to Gnd, achieving Vgs = -Vcc reverse-bias on M1. This reduces active leakage by 7X even with using low-vt transistors on M1 and M2, as shown in measurements from 1.2V,.13µm technology (Fig. 13). Performance penalty compared to dual-vt bitline scheme due to higher input capacitance and slow static NOR pullup is offset by (i) low-vt usage throughout read-path and (ii) 5% downsized keeper transistor Pk that reduces contention during evaluate. A 6GHz,.13µm, 256x32b register file based on this technique is described in [14], that achieves % higher performance than dual-vt LBL scheme with 36% DC noise robustness improvement (Fig. 14). V. On-chip Interconnect Design Techniques Source follower NMOS pull-up bus drivers have been proposed for high-speed low-power on-chip static busses [15]. The higher gain of NMOS improves pull-up performance and the reduced output swing (Vcc-Vt) helps lower bus switching power with a simultaneous reduction in pull down delay. However, this solution is impractical due to the floating driver output at Vcc-Vt, which prevents low-to-high coupling noise recovery. Recovery from highto-low coupling noise is also problematic due to the weak holding impedance of NMOS pull-up drivers. An effective alternative is a BiCMOS-style PMOS-boosted source follower (PSF) bus driver scheme that overcomes the floating output problem, enabling robust high-performance on-chip busses [16]. Fig. 15(a) shows the PSF driver scheme. The early-strike of the NMOS begins a fast pullup, with the PMOS-booster follow-through that completes the full-rail transition (Fig. 15(b)). This maintains the speed advantage of the NMOS pull-up and the noise immunity benefit of a PMOS pull-up. Since the output is full-swing, any switching power benefit is lost. However, the performance advantage of the NMOS pull-up can be traded for energy and peak-current reduction with careful consideration of the region of applicability. Fig. 16 shows the 12-bit L1 cache to FPU write-back bus topology implemented on a production MHz 64-bit processor fabricated in.1µm CMOS technology [17]. The conventional low-skewed driver and repeaters are replaced with PSF driver and repeaters optimized for the same input capacitance and faster pull-up operation. The bus driver/repeater performance is improved by -1% for a 2.5% increased switching energy due to short-circuit path in the PSF during early transition. The performance benefit is sustained across a wide range of transistor sizes (Fig 17). VI. Robust High-speed Circuit Design Techniques Out-of-order execution engines of superscalar processors require (i) wide instruction schedulers capable of scheduling back-to-back instructions into multiple ALUs in the execution core, and (ii) fast ALUs capable of executing these instructions with single-cycle latency and throughput. A high-speed ALU and instruction scheduler loop is therefore essential to maximize processor performance. A 6.5GHz 32-bit ALU and an -entry x 2- ALU instruction scheduler loop, implemented as part of the integer execution core and fabricated in 13nm dual-v t CMOS technology [1] (Fig. 1) is described. High-speed single-ended dynamic circuit techniques enable the evaluation of complex (up to 2x9-way OR) logic operations while simultaneously achieving (i) high noise robustness, (ii) low active leakage power dissipation, (iii) maximum low-v t usage, (iv) simplified 2Φ 5% dutycycle timing scheme with seamless scheduler/alu interface time-borrowing, and (v) scalable performance up to 1GHz, measured at 1.7V, 25C. The instruction scheduler is capable of scheduling dependent instructions to two 32-bit ALUs, choosing one of eight ready instructions to execute in each ALU per cycle (Fig. 19). Dependencies for the 16 instructions currently in the pool, D<15:>, are evaluated and stored in a 1-bit x 24-entry dependency matrix during the previous cycle. The ready logic resolves dependencies between the 16 instructions in the pool and two external dependency signals (E<1:>), essentially requiring an 1-way AND operation. An -way OR priority encoder then chooses from among the ready instructions using dynamically controlled priorities (P<6:>) and drives a 14µm loopback bus into the ready logic and the shared ALU tri-state bus. Both true and complementary inputs are required for the ready logic and the priority encoder, requiring tall/wide AND/OR logic paths in a conventional differential domino implementation. Critical path performance is limited by tall NAND stacks, forcing an -stage implementation. Fig. 2(a)-(b) shows the single-ended to differential dominocompatible complementary signal generator (CSG) based ready logic and priority encoder implementation, that eliminates the wide AND paths and realizes the complete critical path with single-ended 2x9-way and -way dynamic OR circuits respectively. Dual-V t optimization is conducted for high performance and to meet target noise margin constraints. High-V t is used on the 9- and -way domino-or NMOS pulldown transistors and low-v t is used for all other transistors. Complete scheduler path requires only 6 gate-stages, improving critical path performance by 23% over the corresponding dual-rail implementation. Further, the single-ended design achieves 67% layout area reduction and 25% loopback interconnect length reduction due to eliminating 5% of the scheduler logic transistors, enabling a dense layout occupying 317

4 21µmx21µm (Fig. 24). Total active leakage power dissipation is 5% lower than differential domino design. The 32-bit ALU consists of a 5:1 source multiplexor, single-ended 32-bit dynamic adder core, and an 4µm differential ALU loopback bus (Fig. 21). The source multiplexor selects single-rail ALU operands from the true and complementary outputs of ALU loopback bus, 32-bit register file entries, and external debug inputs. The sum/sum adder outputs are driven onto the ALU loopback bus via a tri-stated bus driver. This organization enables single-cycle execution of add, subtract and accumulate instructions. The adder employs a radix-2 Han-Carlson architecture with carry-merge operation performed in both the dynamic and static stages of the domino gates. This results in a worst-case evaluation path of 3N-2P-2N-2P-2N-2P stacks, with initial P-G generation occurring in the first stage, followed by 5 stages of carrymerge logic. This implementation enables a 4-way carrymerge operation to be effected in two logic stages. Worstcase domino NMOS pulldown is only 2-wide, allowing usage of performance-setting low-v t transistors throughout the core while meeting noise immunity and active leakage power constraints. The Han-Carlson carrymerge tree skips alternate odd carries (C 1, C 3, C 31 ) and generates 16 even carries (C, C 2, C 3 ) in 5 stages. An extra carry-merge logic stage is required to generate the missing odd carries at the end of the carry-merge tree. This logic is folded into a CSG and the output sum XORs to produce the dual-rail sum/sum outputs for the odd bits in a single gate-stage, achieving a 1% delay reduction over the reference design (Fig. 22(a)). The single-ended even carries also feed into a CSG with the output sum XORs folded-in to produce the dual-rail sum/sum outputs for the even bits (Fig. 22(b)). The Han-Carlson architecture with CSG usage enabled a single-rail ALU implementation with 5% fewer carry-merge gates and 4% reduction in active leakage energy compared to a differential domino Kogge-Stone implementation. Furthermore, only alternate bits are propagated between consecutive carry-merge stages, resulting in a 5% reduction in inter-stage interconnect routing complexity. This allowed a compact layout occupying 336µmx4µm (Fig. 24), with a worst-case inter-stage wire length of 16µm, contributing to further speed improvement. At 6.5GHz (1.1V, 25C) operation, the measured ALU and scheduler loop power is 12mW and active leakage power is 15mW. The advantages of the single-ended scheduler and ALU over dual rail schemes are summarized in Table 1. Fig. 23 shows the maximum frequency (F max ), switching power, and active leakage power vs. supply voltage measurements. The ALU and instruction scheduler loop operates on a 5% duty-cycle 2Φ domino timing scheme, resulting in reduced circuit design and validation complexity. The Φ2 clock is locally generated by inverting the incoming Φ1 clock, and triggers the CSG stages. Inputs to the CSG are setup before Φ2 clock s rising edge to minimize noise on the non-switching output. Peak output noise is limited to 3mV for up to 3ps of Φ2 clock skew/jitter variations, meeting output noise constraints. The scheduler s ready logic CSG clock (Φ1 d ) is a delayed version of Φ1 clock, produced by an on-die programmable switched delay cell to enable clock stretching for slow frequency debug. Conclusions New paradigm shifts necessary to achieve the highperformance and low-power goals of sub-7nm microprocessors are examined. Three key barriers - power consumption, leakage tolerance, and interconnect delays are addressed. Static and dynamic supply scaling, clock gating, dual threshold voltage technology, sleep transistor techniques and their tradeoffs are discussed for switching and leakage power reduction. Conditional keeper domino and pseudo-static techniques for improved dynamic bitline leakage tolerance are described. PMOS-boosted source follower driver scheme for high-speed/low-power on-chip global busses and implementation results on production 64-bit processor are studied. Robust ALU and instruction scheduler designs using single-ended dynamic circuits to enable up to 1GHz single-cycle operation in 13nm dual- Vt CMOS are reviewed. Acknowledgement The authors thank S. Hsu, D. Somasekhar, S. Narendra, A. Keshavarzi, Y. Ye, K. Soumyanath, W. Pinfold, C. Webb, P. Madland for discussions; B. Bloechel for measurements; R. Hofsheier and J. Rattner for encouragement and support. References [1] J. Davis et al, Proc. IEEE, March 21, pp [2] Y. Kanno et al, 2 VLSI Circuits Symp. Digest, pp [3] T. Fuse et al, 21 VLSI Circuits Symp. Digest, pp [4] L. R. Carley et al, Proc. ISLPED 1999, pp [5] M. Takahashi et al, IEEE JSSC, Vol. 33, Nov. 199, pp [6] M. Takahashi et al, IEEE JSSC, Vol. 35, Nov. 2, pp [7] S.Tyagi et al, 2 IEDM Tech. Digest, pp [] S. Thompson, I. Young, 1997 VLSI Tech. Symp. Digest, pp [9] S. Shigematsu et al, IEEE JSSC, Vol. 32, June 1997, pp [1] T. Inukai et al, Proc. CICC 2, pp [11] P. Pant et al, IEEE Trans. VLSI Systems, April 21, pp [12] S. Borkar et al, Proc. 21 ISPD, pp [13] A. Alvandpour et al, 21 VLSI Circuits Symp. Digest, pp [14] R. Krishnamurthy et al, 21 VLSI Circuits Symp. Digest, pp [15] H. Zhang et al, Proc. ISLPED 199, pp [16] R. Krishnamurthy et al, 21 VLSI Circuits Symp., pp [17] G. Singer et al, 2 ISSCC Digest, pp [1] M. Anders et al, 22 ISSCC Digest, pp

5 Power (W) Power (Watts) Cooling Capacity Of Conventional System Pentium 4 processor Pentium II processor Performance Desktop Pentium processor.µ.25µ.6µ 1.35µ Mobile &1U 46 Server 36 PDA.1µ 1 XScale Fig. 1. CPU power scaling trend Current (µa/µm) 25 Active Power 12% 2 Active Leakage % 15 % 6% 4% 5 2% L poly (µm) 11 C Bitline I on Bitline I off (16 cells) Bitline Robustness Fig. 3. Bitline robustness scaling trend Robustness (Noise Margin / Vcc) Performance-critical units (high Vcc) Fig.. Sleep transistor 1.1 Gate Delay Delay (ckp)/delay (std) µ. 1 µ. 1 3 µ. 1 µ.7µ Technology Fig. 2. Leakage power fraction Level Converters Non-critical units (low Vcc) Low Vcc on-die regulator(optional) Fig. 5. Static 2-supply scheme sleep transistor Virtual Vcc Functional Unit Virtual Vss sleep transistor Clock Normalized Leakage I Leak M 21 M 2j M 2K -bit 16-bit 32-bit % Measured Leakage in 1.2V, 13nm process Subthreshold lkg Gate lkg Voltage (V) Fig. 6. Leakage vs. Vcc scaling trend Pk Dyn_out M 11 M 1j M 1K Fig. 9(a) M-bit dynamic OR Inv_out Φ1 RS Clock Fig. 4. Interconnect delay scaling trend Normalized active leakage T keeper 1 - High-Vt Low-Vt - 1X DIBL (mv/v) Fig µm leakage measurements Delay Element Wide Pull down D M1 M2 Standard Keeper (downsized) Conditional Keeper PK2 PK1 d_ out Fig. 9(b) Conditional keeper technique LBL RS15 D15 LBL1 inv_ out N T keeper /T MAX Fig. 1. Conditional keeper benefit Fig. 11. Conventional dynamic LBL 319

6 Φ1 Pk LBL D D15 M1 Vs Px RS M2 RS15 Fig. 12. Pseudo-static LBL LBL1 V G = V B =, V D = 1.2V conventional 73X pseudo-static 1.E+4 1.E+3 1.E+2 1.E+1 1.E+ 1.E V GS (V) Fig. 13. Pseudo-static leakage measurements Normalized Ieakage 356µm 9µm Clock Drivers Cell Array IN OUT C L Output Voltage (V) Input PSF Out CMOS Out Fig GHz 256x32 register file layout Fig. 15(a). PSF driver and (b) Output response. Time (ns) Ready Logic FPU Delay (ps) CMOS PSF % D<14:> Sched<15:1> Sched<> E<1:> 1AND X Priority Encoder Ready<> Ready<> L1U Fig bit processor floorplan Driver Area (µm) Fig. 17. PSF driver comparisons P<6:> Ready<7:1> Ready<> AND X Sched<> Sched<> RF Fig. 19. Instruction scheduler organization 4 x 32 5:1 5:1 ALU sum 32 to sum 32 RF, X Scheduler ALU sched sched Ready Logic CSG Ready Logic CSG Φ 1d Lower 9 bits 4 x 32 5:1 5:1 ALU 1 sum1 32 to RF, sum1 32 X Scheduler ALU 1 sched1 sched1 to RF, R<:> R<17:9> Φ 1d High-Vt transistors High-Vt transistors Upper 9 bits Fig. 2(a). Scheduler ready logic CSG implementation Fig bit Integer ALU and instruction scheduler loop Ready<7:1> P<6:> Φ 2 Sched<> Sched<> High-Vt Ready<> transistors Fig. 2(b). Scheduler priority encoder CSG implementation 32

7 RF Operand Operands RF Operand Operands 5:1 Mux 5:1 Mux Control Odd-bit CSG Carry merge 4um loopback bus Propagate/Generate/Partial Sum (dynamic) Carry merge (static) Carry merge 1 (dynamic) Carry merge 2 (static) Carry merge 3 (dynamic) Carry merge 4 (static) Carry merge 5 (CSG) / Sum Sum Sum Fig bit integer ALU core Φ 2 g i g i-1 Carry i p i Sum i Psum i Sumi Sum generation Carryi Fig. 22(a). Han-Carlson odd-bit CSG circuit Even-bit CSG Carry merge Φ 2 g i Sum generation Carry i Psum i Sum i Carryi Fig. 22(b). Han-Carlson even-bit CSG circuit Input Scan ctl RF Output Sched. Clock ALU Misc BB ctl Sum i Die Area 1.61 x 1.44 mm Process 13nm CMOS Interconnect 1 poly, 6 metal Transistors 16K Frequency 5GHz Maximum V cc 1.5V Core Power 1.43V Pad Count 72 Fig nm testchip microphotograph and details Area Performance (Delay) Active Leakage Robustness ALU 5% 1% 4% equal Scheduler 67% 23% 5% equal Fmax (GHz) Active Power (mw) Supply Voltage (V) Supply Voltage (V) Fig nm Fmax, active power, and leakage power measurements (3 C). Leakage Power (mw) Table 1. CSG benefits summary 321

8 322

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-30nm CMOS Technologies Bhaskar Chatterjee, Manoj Sachdev Ram Krishnamurthy * Department of Electrical and Computer

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic M.Manikandan 2,Rajasri 2,A.Bharathi 3 Assistant Professor, IFET College of Engineering, Villupuram, india 1 M.E,

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

Reduced Swing Domino Techniques for Low Power and High Performance Arithmetic Circuits

Reduced Swing Domino Techniques for Low Power and High Performance Arithmetic Circuits Reduced Swing Domino Techniques for Low Power and High Performance Arithmetic Circuits by Shahrzad Naraghi A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

Comparison of Power Dissipation in inverter using SVL Techniques

Comparison of Power Dissipation in inverter using SVL Techniques Comparison of Power Dissipation in inverter using SVL Techniques K. Kalai Selvi Assistant Professor, Dept. of Electronics & Communication Engineering, Government College of Engineering, Tirunelveli, India

More information

Design of 32-bit ALU using Low Power Energy Efficient Full Adder Circuits

Design of 32-bit ALU using Low Power Energy Efficient Full Adder Circuits Design of 32-bit ALU using Low Power Energy Efficient Full Adder Circuits Priyadarshini.V Department of ECE Gudlavalleru Engieering College,Gudlavalleru darshiniv708@gmail.com Ramya.P Department of ECE

More information

BICMOS Technology and Fabrication

BICMOS Technology and Fabrication 12-1 BICMOS Technology and Fabrication 12-2 Combines Bipolar and CMOS transistors in a single integrated circuit By retaining benefits of bipolar and CMOS, BiCMOS is able to achieve VLSI circuits with

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) CSE 493/593 Test 2 Fall 2011 Solution 1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) Decreasing of W to make the gate slower,

More information

Performance Comparison of VLSI Adders Using Logical Effort 1

Performance Comparison of VLSI Adders Using Logical Effort 1 Performance Comparison of VLSI Adders Using Logical Effort 1 Hoang Q. Dao and Vojin G. Oklobdzija Advanced Computer System Engineering Laboratory Department of Electrical and Computer Engineering University

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. II (Nov -Dec. 2015), PP 06-15 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org High-Performance of Domino Logic

More information

A Novel Design of High-Speed Carry Skip Adder Operating Under a Wide Range of Supply Voltages

A Novel Design of High-Speed Carry Skip Adder Operating Under a Wide Range of Supply Voltages A Novel Design of High-Speed Carry Skip Adder Operating Under a Wide Range of Supply Voltages Jalluri srinivisu,(m.tech),email Id: jsvasu494@gmail.com Ch.Prabhakar,M.tech,Assoc.Prof,Email Id: skytechsolutions2015@gmail.com

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES PSowmya #1, Pia Sarah George #2, Samyuktha T #3, Nikita Grover #4, Mrs Manurathi *1 # BTech,Electronics and Communication,Karunya

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

A Static Power Model for Architects

A Static Power Model for Architects A Static Power Model for Architects J. Adam Butts and Guri Sohi University of Wisconsin-Madison {butts,sohi}@cs.wisc.edu 33rd International Symposium on Microarchitecture Monterey, California December,

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

An energy efficient full adder cell for low voltage

An energy efficient full adder cell for low voltage An energy efficient full adder cell for low voltage Keivan Navi 1a), Mehrdad Maeen 2, and Omid Hashemipour 1 1 Faculty of Electrical and Computer Engineering of Shahid Beheshti University, GC, Tehran,

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

Unique Journal of Engineering and Advanced Sciences Available online: Research Article

Unique Journal of Engineering and Advanced Sciences Available online:   Research Article ISSN 2348-375X Unique Journal of Engineering and Advanced Sciences Available online: www.ujconline.net Research Article WIDE FAN-IN GATES FOR COMBINATIONAL CIRCUITS USING CCD Mekala S 1 *, Meenakanimozhi

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

19. Design for Low Power

19. Design for Low Power 19. Design for Low Power Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 November 8, 2017 ECE Department, University of Texas at

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

ISSCC 2003 / SESSION 6 / LOW-POWER DIGITAL TECHNIQUES / PAPER 6.2

ISSCC 2003 / SESSION 6 / LOW-POWER DIGITAL TECHNIQUES / PAPER 6.2 ISSCC 2003 / SESSION 6 / OW-POWER DIGITA TECHNIQUES / PAPER 6.2 6.2 A Shared-Well Dual-Supply-Voltage 64-bit AU Yasuhisa Shimazaki 1, Radu Zlatanovici 2, Borivoje Nikoli 2 1 Hitachi, Tokyo Japan, now with

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting Jonggab Kil Intel Corporation 1900 Prairie City Road Folsom, CA 95630 +1-916-356-9968 jonggab.kil@intel.com

More information

Wide Fan-In Gates for Combinational Circuits Using CCD

Wide Fan-In Gates for Combinational Circuits Using CCD Wide Fan-In Gates for Combinational Circuits Using CCD Mekala.S Post Graduate Scholar, Nandha Engineering College, Erode, Tamil Nadu, India Abstract: A new domino circuit is proposed with low leakage and

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R RW 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

Energy-Recovery CMOS Design

Energy-Recovery CMOS Design Energy-Recovery CMOS Design Jay Moon, Bill Athas * Univ of Southern California * Apple Computer, Inc. jsmoon@usc.edu / athas@apple.com March 05, 2001 UCLA EE215B jsmoon@usc.edu / athas@apple.com 1 Outline

More information

t Microprocessor Research Laboratories, Intel Corporation, Hillsboro, OR

t Microprocessor Research Laboratories, Intel Corporation, Hillsboro, OR AN ENERGY-EFFICIENT LEAKAGE-TOLERANT DYNAMIC CIRCUIT TECHNIQUE Lei Wang, Ram K. Krishnamurthyt, K. Soumyanatht, and Naresh R. Shanbhag Coordinated Science Laboratory, Department of Electrical and Computer

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R R 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor

Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor Narendra Yadav 1, Vipin Kumar Gupta 2 1 Department of Electronics and Communication, Gyan Vihar University, Jaipur, Rajasthan,

More information

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders 12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders Mr.Devanaboina Ramu, M.tech Dept. of Electronics and Communication Engineering Sri Vasavi Institute of

More information

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders B. Madhuri Dr.R. Prabhakar, M.Tech, Ph.D. bmadhusingh16@gmail.com rpr612@gmail.com M.Tech (VLSI&Embedded System Design) Vice

More information

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers Michael H. Perrott March 19, 2004 Copyright 2004 by Michael H. Perrott All rights reserved. 1 High Speed Frequency

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

A Literature Survey on Low PDP Adder Circuits

A Literature Survey on Low PDP Adder Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 12, December 2015,

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

IN ORDER to meet the constant demand for performance

IN ORDER to meet the constant demand for performance 494 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 3, MARCH 2004 A Shared-Well Dual-Supply-Voltage 64-bit ALU Yasuhisa Shimazaki, Member, IEEE, Radu Zlatanovici, and Borivoje Nikolić Abstract A shared

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

EECS 427 Lecture 22: Low and Multiple-Vdd Design

EECS 427 Lecture 22: Low and Multiple-Vdd Design EECS 427 Lecture 22: Low and Multiple-Vdd Design Reading: 11.7.1 EECS 427 W07 Lecture 22 1 Last Time Low power ALUs Glitch power Clock gating Bus recoding The low power design space Dynamic vs static EECS

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment 1014 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 7, JULY 2005 Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment Dongwoo Lee, Student

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 2190 Biquad Infinite Impulse Response Filter Using High Efficiency Charge Recovery Logic K.Surya 1, K.Chinnusamy

More information

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 77-81 International Research Publication House http://www.irphouse.com Noise Tolerance Dynamic CMOS Logic

More information

Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications

Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications LETTER IEICE Electronics Express, Vol.12, No.3, 1 6 Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications Xin-Xiang Lian 1, I-Chyn Wey 2a), Chien-Chang Peng 3, and

More information

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates R Ravikumar Department of Micro and Nano Electronics, VIT University, Vellore, India ravi10ee052@hotmail.com

More information

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #8: Leakage Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: Low Power Interconnect Finish Lecture 7 Leakage Mechanisms Circuit Styles for Low Leakage

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Jan Rabaey, «Low Powere Design Essentials, Springer tml Jan Rabaey, «e Design Essentials," Springer 2009 http://web.me.com/janrabaey/lowpoweressentials/home.h tml Dimitrios Soudris, Christian Piguet, and Costas Goutis, Designing CMOS Circuits for Low POwer,

More information

A REPORT ON LOW POWER VLSI CURCUIT DESIGN

A REPORT ON LOW POWER VLSI CURCUIT DESIGN A REPORT ON LOW POWER VLSI CURCUIT DESIGN ABSTRACT Kumar Saurabh Prashant Mani Department of Electronics Communication Engineering SRM University, NCR Campus, Ghaziabad, India We survey state-of-the-art

More information

EEC 118 Lecture #12: Dynamic Logic

EEC 118 Lecture #12: Dynamic Logic EEC 118 Lecture #12: Dynamic Logic Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Outline Today: Alternative MOS Logic Styles Dynamic MOS Logic Circuits: Rabaey

More information

Lecture 10. Circuit Pitfalls

Lecture 10. Circuit Pitfalls Lecture 10 Circuit Pitfalls Intel Corporation jstinson@stanford.edu 1 Overview Reading Lev Signal and Power Network Integrity Chandrakasen Chapter 7 (Logic Families) and Chapter 8 (Dynamic logic) Gronowski

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

High Performance Low-Power Signed Multiplier

High Performance Low-Power Signed Multiplier High Performance Low-Power Signed Multiplier Amir R. Attarha Mehrdad Nourani VLSI Circuits & Systems Laboratory Department of Electrical and Computer Engineering University of Tehran, IRAN Email: attarha@khorshid.ece.ut.ac.ir

More information

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 1, July 2013

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 1, July 2013 Power Scaling in CMOS Circuits by Dual- Threshold Voltage Technique P.Sreenivasulu, P.khadar khan, Dr. K.Srinivasa Rao, Dr. A.Vinaya babu 1 Research Scholar, ECE Department, JNTU Kakinada, A.P, INDIA.

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

CMOS Process Variations: A Critical Operation Point Hypothesis

CMOS Process Variations: A Critical Operation Point Hypothesis CMOS Process Variations: A Critical Operation Point Hypothesis Janak H. Patel Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign jhpatel@uiuc.edu Computer Systems

More information

Power Considerations in the Design of the Alpha Microprocessor

Power Considerations in the Design of the Alpha Microprocessor Power Considerations in the Design of the Alpha 21264 Microprocessor Michael K. Gowan, Larry L. Biro, Daniel B. Jackson Digital Equipment Corporation Hudson, Massachusetts 1. ABSTRACT Power dissipation

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES R. C Ismail, S. A. Z Murad and M. N. M Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Arau, Perlis, Malaysia

More information

Incorporating Variability into Design

Incorporating Variability into Design Incorporating Variability into Design Jim Farrell, AMD Designing Robust Digital Circuits Workshop UC Berkeley 28 July 2006 Outline Motivation Hierarchy of Design tradeoffs Design Infrastructure for variability

More information

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Anjana R 1, Dr. Ajay kumar somkuwar 2 1 Asst.Prof & ECE, Laxmi Institute of Technology, Gujarat 2 Professor

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 2 1.1 MOTIVATION FOR LOW POWER CIRCUIT DESIGN Low power circuit design has emerged as a principal theme in today s electronics industry. In the past, major concerns among researchers

More information

Exploring High-Speed Low-Power Hybrid Arithmetic Units at Scaled Supply and Adaptive Clock-Stretching

Exploring High-Speed Low-Power Hybrid Arithmetic Units at Scaled Supply and Adaptive Clock-Stretching Exploring High-Speed Low-Power Hybrid Arithmetic Units at Scaled Supply and Adaptive Clock-Stretching Swaroop Ghosh and Kaushik Roy School of Electrical and Computer Engineering, Purdue University, West

More information

An Overview of Static Power Dissipation

An Overview of Static Power Dissipation An Overview of Static Power Dissipation Jayanth Srinivasan 1 Introduction Power consumption is an increasingly important issue in general purpose processors, particularly in the mobile computing segment.

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique

Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique Mansi Gangele 1, K.Pitambar Patra 2 *(Department Of

More information