UNIVERSITY OF CINCINNATI

Size: px
Start display at page:

Download "UNIVERSITY OF CINCINNATI"

Transcription

1 UNIVERSITY OF CINCINNATI Date: I,, hereby submit this work as part of the requirements for the degree of: in: It is entitled: This work and its defense approved by: Chair:

2 Automatic High-level Model Generation for Analog RF Circuits in VHDL-AMS A thesis submitted to the Division of Graduate Studies and Research of the University of Cincinnati in partial fulfillment of the requirements for the degree of MASTER OF SCIENCE in the Department of Electrical and Computer Engineering and Computer Science of the College of Engineering March 2005 By Wei Yang M.S.EE, Northern Jiaotong University, Beijing, China, 1997 Thesis Advisor and Committee Chair: Dr. Harold W. Carter

3 Abstract With the booming market of wireless communications, complex wireless System- On-Chips (SoCs) challenge the traditional bottom-up design methodology for the analog part of these complex mixed-signal SoCs. The combination of top-down and bottom-up scenarios of design and verification methodology, new modeling language and simulation environment, and high-level models of components in the RF system provides a potential solution. Effective high-level models of analog RF blocks are an essential mechanism for supporting top-down design methodology of the complex communication SoCs. Highlevel models of analog RF circuits allow the evaluation of the system architecture and performance at an early stage of development. Further, the combination of traditional circuit elements and high-level models provide a good trade-off between simulation time and accuracy, thus potentially shortening the design time. This thesis investigates an approach for automatically generating high-level models for analog RF blocks in VHDL-AMS with desired performance characteristics. To demonstrate the approach, high-level models of two types of RF circuits, analog filters and Low Noise Amplifiers (LNAs), are created in VHDL-AMS. The performance characteristics of high-level models are validated by a VHDL-AMS simulator and SPICE.

4

5 Acknowledgments I would like to dedicate this work to my advisor, Dr. Carter, for his timely guidance and previous suggestions. Thank Dr. Vemuri and Dr. Beyette for their readily accepting to sever on my thesis committee. I dedicate this work to my mother, without whose support and encouragement, this work would not have been finished in spring. I also dedicate this work to my newborn son whose lovely face gave me so much joy. Special thanks to my friend, Dr. Haiqiao Xiao, who offered support and insight when I was frustrated. I also would like to thank my friends at UC for the good times we had together.

6 i Contents List of Figures List of Tables Chapter 1 Introduction Motivation Problem Statement Approaches Summary of Results Overview of Thesis...5 Chapter 2 Background Modeling Requirments of RF Circuits RF Modeling in VHDL-AMS VHDL-AMS Features Restriction on VHDL-AMS Syntax Suggested Extensions to VHDL-AMS RF Systems and Circuits Noise and Nonlinearity in RF Circuits Systematic Analysis of RF System Related Research.12 Chapter 3 Approach to Automatic Top-level Model Generation for Analog RF Circuits High-level Modeling of RF Circuits in VHDL-AMS High-level Modeling of Impedance High-level Modeling of Noise in RF Circuits High-level Modeling of Bandwidth High-level Modeling of the RF Functions..21

7 ii High-level Modeling of Nonlinearity Automatic High-level Model Generation Generation of the Parameter for Noise Generation of the Parameter for Bandwidth Generation of the Parameter for Nonlinearity Application: High-level Model of Analog Filters and LNAs Create High-level Model for Analog Filters and LNAs Create Testbench for High-level Model Template Generation of High-level Models 31 Chapter 4 Validation and Results Validation of Analgo Filter Models Function Evaluation Accuracy Evaluation Simulator Evaluation: VHDL-AMS vs. SPICE Validation of High-level LNA Model GHz LNA High-level Model Validation Accuracy Validation: High-level Model vs. Circuit Performance Evaluation Chapter 5 Conclusion and Future Work Summary Future Work...52 Bibliography.52 Appendix...53 A.1 VHDL-AMS Code..54 A.1.1 Testbench_Filter.vhd 54 A.1.2 Laplace.vhd..55 A.1.3 Noisesource.vhd...55 A.1.4 Nonlinearity.vhd..56

8 iii List of Figures Figure 2.1 Block Diagram of a Simplified Wireless Radio System....9 Figure 2.2 Growth of Output Components in an Intermodulation Test...11 Figure 3.1 Equivalent Circuits for Input Impedance.15 Figure 3.2 Equivalent Circuits for Output Impedance...15 Figure 3.3 Approach of Modeling Noise...16 Figure 3.4 Approach of Automatic Generation of Ideal Filter Model in VHDL-AMS.20 Figure 3.5 Input Impedance and Noise Model in SPICE...23 Figure 3.6 Optimization of the Model Parameter for Noise..24 Figure 3.7 Optimization of the Model Parameter for Bandwidth.. 25 Figure 3.8 Optimization of the Model Parameter for Nonlinearity...27 Figure 3.9 Structures of High-level VHDL-AMS Models for Analog Filters&LNAs..29 Figure 3.10 Structures of High-Level Models for Analog Filters&LNAs in SPICE...30 Figure 3.11 Testbench of the Models for SPICE Figure 4.1 Testbench of the Analog Filter Model..37 Figure 4.2 Simulation Result of 4 th -order Butterworth Lowpass Filter with Edge Frequency of 10MHz Figure 4.3 Simulation Result of 4 th - order Butterworth Highpass Filter with Edge Frequency of 10MHz Figure 4.4 Simulation Result of 4 th - order Butterworth Bandpass Filter with Center Frequency of 1MHz and Bandwidth of 30KHz...38 Figure 4.5 Simulation Result of 4 th -order Chevbyshev Lowpass Filter with Edge Frequency of 10 MHz and 1dB Ripple in Pass Band..39 Figure 4.6 Simulation Result of 4 th -order Chebyshev Highpass Filter with Edge Frequency of 10MHz and 1dB Ripple in Pass Band...39 Figure 4.7 Simulation Result of 4 th -order Chebyshev Bandpass Filter with Center Frequency of 1MHz, Bandwith of 30 KHz and 1dB Ripple in Pass Band...40 Figure 4.8 Testbench of the LNA Model...42 Figure 4.9 Gain and bandwidth Simulation of the High-level Model of 1.9GHz LNA...43 Figure 4.10 Noise Simulation of the High-level Model of 1.9GHz LNA...43

9 iv Figure 4.11 Result of Two-tone Test of the High-level Model of 1.9GHz LNA..44 Figure GHz LNA Circuit Figure 4.13 Gain and Bandwidth Simulation of 1.9GHz LNA Circuit.46 Figure 4.14 Gain and Bandwidth Simulation over the Band of Interest: Circuit vs. High-level Model Figure 4.15 Gain and Bandwidth Simulation over 1.7GHz - 2GHz: Circuit vs. High-level Model Figure 4.16 Noise Simulation of 1.9GHz LNA Circuit.47 Figure 4.17 Noise Simulation over the Band of Interest: Circuit vs. High-level Model...48 Figure 4.18 Noise Simulation over 1.8GHz to 2GHz: Circuit vs. High-level Model 48 Figure 4.19 Result of Two-tone Test of the 1.9GHZ LNA Circuit..49

10 v List of Tables Table 2.1 RF Modeling Requirements.7 Table 3.1 Example of Performance Characteristics for an Analog Filter...28 Table 3.2 Typical Performance Characteristics for LNAs in Heterodyne Systems..28 Table 3.3 Performance Characteristics of the Analog Filter Table 3.4 Performance Characteristics of a 1.9GHz LNA 33 Table 4.1 Types of Simulations for Validating RF Analog Filter&LNA Models.36 Table 4.2 VHDL-AMS simulation result vs. Design Specification...40 Table 4.3 VHDL-AMS Simulation vs. SPICE Simulation 41 Table 4.4 Performance Characteristics and Validation Results of the LNA Model..45 Table 4.5 Two-tone Test Conditions for 1.9GHz LNA. 49 Table 4.6 Comparison of Two-tone Test between Circuit and High-level Model Table 4.7 Performance Evaluation.50

11 1 Chapter 1 Introduction 1.1 Motivation With rapidly increasing transistor density and the explosive growth of the wireless communication market, two relatively new areas in the integrated circuit design are System-on-Chip (SoC, both digital and mixed signal), and very high frequency analog circuits. Complex SoCs usually integrate digital processing and signal control together with an analog transceiver front-end in a single die. Although the digital circuit s topdown design procedure has been fully developed, design and verification of the RF analog front end is usually a very intensive process in a bottom-up and component-based way that introduces a gap between the need for rapid time-to-market and the complex design and verification required for the SoC design. To shorten this gap, the following approaches provide potential solutions [1]. 1. Combination of top-down and bottom-up scenarios of design and verification methodology for the analog or RF circuits. Top down design requires high-level models for the RF components. 2. Extended modeling languages and simulation environments that support mixedlevel simulation. 3. For the purpose of design reuse, an effective and reusable high-level model library of analog RF blocks. By high-level model, we mean the model is behavioral based and is largely independent of the detailed design and implementation of the circuit. The high-level models of RF circuits allow the evaluation of the system architecture and performance in an early stage, and make it possible to check whether the specifications have been correctly met. Another aspect is the combination of traditional circuit elements and high-

12 2 level models of circuits that provide a good trade-off between simulation time and accuracy, thus largely shortening the design cycle time. Hardware Description Languages have been extended to be capable of modeling analog and mixed-signal circuits. VHDL-AMS is a relatively new standard description language for modeling mixed-signal and mixed-technology systems. An advantage of VHDL-AMS is that it supports modeling a system at different levels of abstraction from behavioral level to circuit level thereby providing an appropriate trade-off between accuracy and simulation time. From the above discussion, we can see that new hardware description languages and simulation environments are capable of supporting behavioral modeling, top-down design and mixed-level simulation for analog, RF circuits and systems. The problem is the lack of effective and accurate analog and RF high-level models. Sometimes, designing the high-level RF models is still component-based. Models developed for a specific or narrow range of frequencies, architectures or specifications may not satisfy parameters outside these ranges even though the model is for the same circuit. A need exists to create a reusable high-level RF model library containing building block sub-models from which a system designer can select, configure, assemble and simulate the collection of models representing the behavior of a designed system. System designers desire models whose output behavior effectively represent the behavior of traditional circuits in a desired simulation domain (time or frequency). This research is an attempt to create a methodology for automatic high-level model generation for analog RF components in VHDL-AMS. This is also an attempt to create an effective and reusable high-level model library for analog RF circuits. Our desire is once such a behavioral RF model library is created, it will largely support the top-down design methodology and mix-level simulation and verification for wireless ASIC development, such that the top-down design methodology could be frequently used in the design and verification procedure and largely reduce the time-to-market of products.

13 3 1.2 Problem Statement This thesis investigates a methodology for automatically generating an effective high-level models library of RF components in VHDL-AMS with any desired performance characteristics. The method of modeling the impedance, bandwidth, noise and nonlinear features of the RF components in high-level are also explored in the thesis. The work reported in this thesis can be divided into two parts. 1. Explore the method of modeling the impedance matching, bandwidth, noise and nonlinear features of RF components. 2. Propose and demonstrate a methodology for automatic high-level VHDL-AMS model generation for analog RF components. 1.3 Approach The approach adopted in this thesis can be divided into three parts. 1. Explore the method of modeling the impedance, bandwidth, noise and nonlinear features of analog RF components in high-level. 2. Propose a methodology of automatic high-level model generation for RF components in VHDL-AMS. 3. Validate the proposed methodology of automatic model generation by demonstrating the application of the method on RF filters and Low Noise Amplifiers (LNAs). 1.4 Summary of Results The aim of this thesis research was to investigate a methodology for automatically generating effective high-level models in VHDL-AMS for RF analog components with user desired performance characteristics. High-levels models in VHDL-AMS for two types of RF circuits, analog filters and LNAs, are created as the application of the proposed methodology. Our purpose of validating these models is to 1) identify the accuracy of VHDL-AMS simulator compared with SPICE over frequency simulation and 2) identify the high-level models that meet the

14 4 desired performance characteristics. Important results obtained are presented below: 1. Two types of analog filters, Butterworth and Chebyshev (type I) in the order of 4, were simulated using a testbench model for three conditions: lowpass, highpass and bandpass. The maximum error at the edge frequencies vs. design specification was %. The minimum error at edge frequency vs. design specification was 0%. 2. The above analog filters were simulated in SPICE for the same conditions. The maximum error at edge frequency of the simulation result by VHDL-AMS simulation vs. SPICE simulation was 2.584%. The minimum error at edge frequency of the simulation result by VHDL-AMS simulation vs. SPICE simulation was %. 3. Given desired performance requirements for a 1.9GHz LNA, a high-level model in VHDL-AMS of this LNA was created following the proposed approach. This high-level model in VHDL-AMS was simulated in the frequency domain. The result showed the gain variation over the desired bandwidth was less than 0.2dB. 4. The equivalent high-level model of the LNA was simulated in the testbench by SPICE for noise and nonlinearity validation. The result obtained showed that difference between the noise figure of the high-level model and desired noise figure was dB at desired frequency. The performance characteristic of IIP 3 was met. 5. A 1.9GHz LNA was designed for the same performance characteristics in TSMC 0.25 technology. The circuit was simulated in the same testbench under the same two-tone test conditions. The result showed that, over a frequency band which was eight time larger than the desired frequency band with the desired frequency band in the center, 1) the maximum difference of the gain (high-level model vs. circuit) was 2dB, 2) the maximum difference of the noise figure (high-level model vs. circuit) was 1dB, 3) the difference of IIP 3 between the high-level model and the circuit (under same test condition) was 0.09dBm. The above results show that the VHDL-AMS simulator is accurate vs. SPICE within acceptable error in the frequency domain simulation and the high-level models for the analog filters and LNAs are effective when validated by SPICE. Thus, our approach of generating the high-level models for analog RF circuit was validated by generating

15 5 two types of RF circuits in VHDL-AMS. The high-level models were partially validated by VHDL-AMS simulator and partially validated by SPICE because of the unimplemented noise source and harmonic analysis in the available VHDL-AMS simulation environment. It is reasonable to predict that high-level models in VHDL-AMS will be effective since SPICE and VHDL-AMS simulator both use a similar analog solver when executing these models. But, to date, we could not totally validate all the performance characteristics of the high-level models in VHDL- AMS simulation due to the language and simulator limitation in the frequency domain. We leave this as a future work. 1.5 Overview of Thesis The thesis is organized as follows: Chapter 1 introduces the motivation of the research, approach and summary of the results. Chapter 2 provides a background of the research. First, we discuss the modeling requirements of RF circuits and how well VHDL-AMS supports these requirements. Then, we summarize the limitations of VHDL-AMS and give suggestions on extensions for the language to better support high-level modeling RF circuits. Then background and some relative researches are also given in this chapter. Chapter 3 describes the approach of modeling impedance, bandwidth, noise and nonlinear features of analog RF circuits in high-level. Then the proposed methodology of automatic high-level model generation for RF components is given in this chapter. The high-level models of two types of RF components, analog filters and a 1.9GHz LNA are designed as applications. Chapter 4 validates the results of this research. The created high-level models are simulated in the testbench by VHDL-AMS simulator and SPICE. In particular, a 1.9GHz LNA circuit is designed for the same performance characteristics. We compare the simulation results of the LNA circuit and the high-level LNA model. We also compare the performance of the models. The results will be given in this chapter. Chapter 5 presents the conclusion of the thesis and future work.

16 6 Chapter 2 Background In this chapter, we present the modeling requirements for RF circuits and discuss how VHDL-AMS can be used to describe the behavioral function and noise of RF circuits. We also discuss limitations of VHDL-AMS to model RF circuits with noise, and present suggestions to extend VHDL-AMS to better support RF modeling and simulation [2]. Then, we describe the RF system, circuits and the noise, and nonlinear issues related to the RF system and circuits. We also describe how the systematic analysis can be carried out given noise and nonlinear characteristics of RF components [8] [9] [10]. Related research work is given at the end of the chapter. 2.1 Modeling Requirements of RF Circuits To evaluate the performance and architecture of RF circuits and systems, they need to be modeled and simulated in both the time domain and frequency domain. Some electronic characteristics of RF circuits are best analyzed and specified in the frequency domain (such as gain and noise), while some non-periodic effects that are unavailable in the frequency domain are best studied in the time domain. The behavior of an RF component can be modeled as an electronic circuit or as a mathematical model such as transforms (Laplace or Z-domain), transfer functions (in either time or frequency), linear n-port networks, lumped-parameter networks of components, or the combination of any of these methods. In high frequency communication systems, noise is always a big concern which is studied in the frequency domain. Thus, noise effects must be included in RF models in the frequency domain. This requires that the modeling language supports noise sources, and the simulator support equivalent noise power calculations. Another concern in RF circuits is non-linearity. The modeling language must be capable of supporting both linear and non-linear circuits for which their behavior is

17 7 defined by a set of Ordinary Differential Algebraic Equations (ODAE) or Partial Differential Equations (PDE). This requires that the simulator must support harmonic analysis or equivalent solvers which, when executed, produces the nonlinear behavior of the circuit and system. The modeling requirements of RF circuits and systems are summarized below in Table 2.1. [2] Table 2.1 RF Modeling Requirements Domain Noise Method Numerical form Time Additive Laplace transform Linear Frequency Spectral Z-transform Non-linear Sampled time Circuit Algebraic Sampled frequency Signal flow Ordinary differential N-port Partial differential Transfer function To simulate and verify an RF system-especially to fulfill the demands of topdown design-a modeling language and simulator also need to support hierarchical modeling and mixed-level simulation. 2.2 RF Modeling in VHDL-AMS VHDL-AMS is a rich-featured language used to model analog, mixed-signal and mixed-mode systems and is a superset of the VHDL language VHDL-AMS Features VHDL-AMS fully supports time-domain modeling for lumped-element and signal-flow circuits, but lacks pure frequency domain modeling semantics. Further, VHDL-AMS has some frequency-oriented modeling features that support Lapace and Z- domain transformations, which when simulated, are converted into their time-domain equivalents and executed VHDL-AMS fully supports linear and non-linear algebraic expressions and ODEs in the time domain. VHDL-AMS also supports frequencydependent noise and spectrum sources which can be used to model the noise in RF

18 8 circuits and system in the frequency domain. VHDL-AMS does not support partialdifferential equations and modeling in the distributed-element domain modeling. [2] Restriction on VHDL-AMS Syntax VHDL-AMS supports the declaration of Laplace and Z-domain transforms by the predefined LTF and ZTF attributes. Given a scalar quantity Q, Q LTF(NUM, DEN), yields a quantity whose value is the Laplace transform of Q and whose type is the base type of Q. Q ZTF(NUM, DEN, T [,INITIAL_DELAY]) yields a quantity whose value is the Z-domain transform of Q and whose type is the base type of Q[3]. NUM and DEN are coefficients of the polynomials in S and in Z respectively. T is the sampling period and INITIAL_DELAY is the time of first sampling. VHDL-AMS requires that NUM and DEN must be static real vectors arrays to permit an analytical transformation of the resulting characteristic expressions into the frequency domain [4]. From the above discussion, we can see that it is not possible to model a RF component strictly in the frequency domain including using n-port modeling methods in the frequency domain, but the modeling methods that VHDL-AMS supports are enough to model the behavior of RF circuits. Though the restriction exists, we present an approach to overcome this restriction in the next chapter. To better support the modeling and simulation of RF circuits, some extensions need to be implemented in the VHDL-AMS language and its simulation environment. 2.3 Suggested Extensions to VHDL-AMS We propose the following extensions to VHDL-AMS and its simulator to better support RF circuit modeling and simulation: 1) Add an independent Laplace variable s. 2) Add partial-differential equation capability. 3) Incorporate the harmonic balance methods in VHDL-AMS simulator to better analyze time-frequency behavior. 4) Add a post-simulation analysis to VHDL-AMS simulation to analyze the noise in the simulation output.

19 9 2.4 RF Systems and Circuits RF and microwave wireless technologies have many applications. The major application areas include communications, remote sensing, navigation, broadcasting, RF identification etc.. A wireless radio system is given as a simple example as Figure 2.1 shows [8]. f m Baseband Filter Mixter Power Amplifier f LO ± f m f ± LO Antenna f m f LO Transmitter Local Oscillator (a) Transmitter f ± LO f m f ± LO f m f ± LO f LO f IF f m f m (b) Receiver Figure 2.1 Block Diagram of a Simplified Wireless Radio System The critical building blocks of RF systems are filters, low-noise amplifiers, mixers, oscillators, frequency synthesizers and power amplifiers. For the purpose of the research reported in this thesis, our interest is primarily in communication RF circuits with focus on filters and low noise amplifiers (LNA). We restrict our attentions to these circuits because they are always the first two blocks of the wireless receivers and their noise and nonlinear characteristics are critical to system analysis.

20 Noise and Nonlinearity in RF Circuits Noise is loosely defined as any random interference unrelated to the signal of interest in RF Microelectronics by Behzad Razavi [9] Noise and Noise Figure Most front-end receiver components such as LNAs and Mixer are characterized in terms of their noise figure. Let the noise figure, NF, be defined as Sin /N in NF = (2.1) S / out N out where S out / N is S /N is the signal/noise power ratio at the input of the circuit and in in out signal/noise power ratio at the output of the circuit [9]. From (2.1), we can get S /N N out NF N in in 1 = = 2 Sout / N out G 1 = G = N * G + N 2 in int = 1 2 N in < N,int > in in N int + 2 G N in 1 + (2.2) N where N int is the internal noise power of the device observed from the OUTPUT when there is no input noise. < N, int > is the equivalent input noise power of the device. in Evidently, NF is a function of N in which is not specified. To establish a standard in defining NF, people arbitrarily prescribe that N in should be the noise power generated by a 50 Ω resistor at 290K o Nonlinearity and IIP3 The nonlinearities in analog and RF circuits result in some very important effects (often undesired) such as harmonic distortion, gain compression, cross modulation, and intermodulation. Consider intermodulation which can be very troublesome in RF system [9]. Assume two strong near-band interferers with frequencies of ω 1 and ω 2 pass in

21 11 thought a nonlinear RF circuit, and the output contains some signals that are not harmonics of the input frequencies, intermodulation (IM) products, in which the thirdorder IM product is the particular interest in RF systems. The reason is one of the thirdorder IM product may falls in the band of interest and corrupt the desired signal [9]. A performance metric, third intercept point (IP3), has been defined to characterize the corruption of signals due to third-order intermodulation of two nearby interferers. This parameter is measured by a two-tone test in which the amplitude of the two input signals is chosen to be sufficiently small so that high-order nonlinear terms can be negligible and the gain is relatively constant [9]. 20 log( α A 1 ) OIP 3 20 log( 3 3 α 3 A 4 ) IIP 3 20 log A Figure 2.2 Growth of Output Components in an Intermodulation Test Assume x 2 y( t) t ( t) = Acosω 1t + Acosω t and assume the system can be expressed by 2 3 α 1 x( t) + α 2 x( t) + α 3 Ax( ), then the output gains at 1 ω and ω 2 are equal toα 1 A, 3 and the output gains at 2ω1 ω 2 and 2ω 2 ω1 (third-order IM ) are equal to α 3 A. 4 Plotted these two lines on a logarithmic scale as figure 2.2 shows, the IP3 point is the intersection of these two lines. The output IP3 (OIP3) is the vertical coordinate and the input IP3 (IIP3) is the horizontal coordinate of this point [9] Systematic Analysis of RF System According to Lawrence E. Larson in RF and Microwave Circuit Design For

22 12 Wireless Communications [10], the sensitivity and dynamic range of electronic systems are limited by noise and nonlinearity of the system. For an n-stage RF system, the cascaded noise figure and the third-order intercept point as referenced from the output are given by equation (2.4) and (2.5). Thus, given the noise figure and IIP 3 for all the building blocks of the RF system as shown in Figure 2.1, the systematic analysis can be carried out, and the sensitivity and dynamic range can be determined. NF = NF 1 NF2 1 NF3 1 NFn L + (2.4) G G G G LG 1 where NF i is the noise figure of stage i and G i is the linear gain of the stage i respectively n 1 IP 1 3 = 10 log[ ] i = 1Ln, IP G G G (2.5) i 3i i+ 1 where IP 3i is the output IP 3 point of the stage i. 2.5 Related Research i+ 2L Research on behavioral modeling of analog circuit, RF blocks, circuits and systems in hardware description language had been carried out at Universities and EDA research groups. An existing model library designed to support analog and RF design has been implemented in Verilog-A by Cadence in their behavioral library [5]. These models are not used in this research because the modeling approaches are not public. The behavioral RF models are validated in a system context in [5], so some characteristic of single components may be not consistent with traditional circuits. For instance, traditional LNAs have characteristic of bandwidth, while the LNA model in [5] does not has this characteristic. In [6], components of a FM receiver are modeled and simulated in VHDL-AMS. However, these models are defined for some several frequencies only below 500MHz and are less useful for common RF systems including cell phones and wireless access points. A VHDL-AMS library of RF blocks models were designed in [7], but n

23 13 nonlinearity was not considered and noise was not modeled in the frequency domain. The library is not comprehensive, and does not include a general filter and RF low noise amplifier.

24 14 Chapter 3 Approach to Automatic Top-level Model Generation for Analog RF Circuits In this chapter, we first present our approach for high-level modeling of impedance, noise, bandwidth, function and nonlinearity of analog RF circuits. Then we present a procedure to generate high-level models for analog RF circuits. Finally we demonstrate the generation of high-level models for two types of RF circuits: analog filters and LNAs to illustrate, verify, and characterize the methodology. 3.1 High-Level Modeling of RF Circuits in VHDL- AMS To automatically generate high-level models of an RF circuit with its performance requirements, first a high-level model of the circuit should be created as a template that read by the automation procedure to produce the model in VHDL-AMS after optimizing the parameters of the model. In this section, we present the approach of high-level modeling of impedance, bandwidth, noise, function and nonlinearity for analog RF circuit. Based on this approach, the template of high-level model of any type analog RF components can be created High-Level Modeling of Impedance The input and output impedances of RF circuits are typically designed to be 50 Ω at the design frequency. In our approach, we use equivalent circuits for input and output impedances. Circuit shown in Figure 3.1(a) can be applied for modeling resistive input impedance of a circuit. Another approach for modeling the input impedance of a circuit is shown in Figure 3.1(b). By carefully defining the values of the components in Figure

25 15 3.1(b), we can have resistive input impedance at the design frequency and non-resistive input impedance at other frequencies. We will illustrate how these two approaches are applied in modeling the input impedance of analog filters and LNAs. Circuit shown in Figure 3.2 shows the equivalent circuits for modeling the output impedance.. To simplify the modeling problem, we use Figure 3.2(a) to model the output impedance of the circuit and restrict the values of L1, C1, R1 and R2 to be constant in our approach. While in practice, the values of these components may vary with the operating frequency to be consistent with the variation of input or output impedance of the real RF circuit. But how to model this variation is an open research topic now and we leave it in the future work. Vin Rest of circuit R1 Vin L1 C1 Rest of circuit R1 (a) (b) Figure 3.1 Equivalent Circuits for Input Impedance Previous part of Circuit R2 Vout L2 Previous part of Circuit C2 R2 Vout (a) (b) Figure 3.2 Equivalent Circuits for Output Impedance For impedance of 50 Ω, we have R1 = R2 = 50Ω in above figures. When using the approach shown in Figure 3.1(b), we determine the designed frequency ω0 by equation 3.1. Since our interest frequency band is from 100MHz to 10GHz, the value of L1 is selected to be in the range of nano-henries. The value of the capacitor is computed according to the design frequency and the value of the inductor by equation (3.1).

26 16 1 ω 0 = (3.1) L C High-Level Modeling of Noise in RF Circuits In electronic systems, there exist two kinds of noises according to their sources, external and internal noises. The internal noise is generated by the electronic system or circuit and thus is irreducible. In this thesis, we focus our efforts to model internal noise of the circuits. The fundamental types of noises in semiconductor devices include thermal noise, shot noise, flicker noise, and burst noise. These noises are generated by different types of linear and non-linear circuits and thus are circuit-dependent [11]. Since we are modeling the noise in high-level, we want to model the noise that is independent of the circuit structure and can represent noise characteristics at the output. In these noises, thermal noise and shot noise are white, and the noise spectral density of flicker and burst noise will be decreased with the increasing of operating frequency [11]. Thus, we assume the effects of flicker and burst noise can be ignored in high frequency circuits and it is reasonable to model the noise of such circuits by white noise only in the high-level model. Our approach is illustrated as Figure 3.3 shows. In the equivalent input circuit, a noise source that produces white noise is connected with an ideal non-noisy resistor R1. Figure 3.3 Approach of Modeling Noise Noise source model in VHDL-AMS is given below.

27 17 library ieee_proposed; use ieee_proposed.electrical_systems.all; entity noisesource is generic( mult:real:=1) port( terminal p1,p2:electrical); end entity noisesource; architecture white of noisesource is quantity resolution:real:= e-23*290.0*4; quantity v across p1 to p2; quantity noise_v:real noise resolution*mult; begin if domain=quiescent_domain or domain=time_domain use v==0; else v==noise_v; end use; end architecture white; High-Level Modeling of Bandwidth Laplace transfer function can be used to model the frequency response of a circuit or system in high-level. VHDL-AMS supports declaration of Laplace transforms by providing a predefined LTF attribute of a quantity. Therefore, we can model the bandwidth conveniently with VHDL-AMS. For instance, a VHDL-AMS model of 6 th - order Butterworth lowpass filter is given below. library ieee_proposed; use ieee_proposed.electrical_systems.all; library ieee; use ieee.math_real.all;

28 18 entity laplace is generic(freq:real:=1.0) port( terminal input:electrical; terminal output:electrical); end entity laplace; architecture highlevel of laplace is quantity vin across input to electrical_ref; quantity vout across iout through output to electrical_ref; constant math_2_pi:real:= *2; constant num:real_vector:=(0 => ); constant den:real_vector:=( /((math_2_pi*freq)**0), /((math_2_pi* freq)**1), /((math_2_pi* freq)**2), /((math_2_pi* freq)**3), /((math_2_pi* freq)**4), /((math_2_pi* freq)**5), /((math_2_pi* freq)**6)); begin vout == vin'ltf(num, den); end architecture highlevel; But, as we discussed in the previous chapter, the requirement of the syntax that NUM and DEN must be static real vectors arrays restricts the usefulness of the LTF attribute to model a circuit whose frequency response properties are dynamically specified. To overcome this restriction of the VHDL-AMS syntax, we propose a method of using an automatic procedure to compute any desired coefficient set of Laplace transforms for different types of analog filters. Firstly, we introduce some concepts and techniques related to analog filters. 1. Normalized Analog Lowpass Filter

29 19 The magnitude-squared characteristic of the nth-order Butterworth filter is [12]: 2 1 H( jω) = 2n 1+ ω (3.2) For any order n, the normalized Butterworth filter s property is [12]: H ( j1) = 1 2 (3.3) Similarly, a filter that satisfies (3.4) is known as the nth-order Chebyshev lowpass filter (type I) [12]. 2 1 H( jω) = (3.4) 2 1+ ε ( ω) 2 C n -1 where, C n ( ω) = cos( ncos ω) which is the Chebyshev polynomial (type I) of the nth order, and ε is a very small number. For ω >>1, the normalized Chebyshev (type I) filters properties are given by equation (3.5) for any order n. ε 2 H ( j1) = 1 1+ (3.5) 2 In equation (3.5), ε is determined by equation α = 10 log10(1 + ε ), where α is the allowable ripple (in db) in the pass band [12]. 2. Frequency Transformation From the Laplace transfer function of the normalized lowpass filter, frequency transformation can be used to obtain the Laplace transfer functions of other types of filters. Equation (3.6) is the lowpass-to-highpass equation, that is, we can get a highpass filter from a lowpass filter based on equation (3.6) [12]. Ω 0 H HP ( S) = H LP ( ) (3.6) S where, H LP (S) is a normalized lowpass filter whose pass band is 0 < ω < ω p and ω = 1; Ω0 is the edge frequency of the highpass filter. p Similarly, equation (3.7) is the lowpass-to-bandpass equation [12]. H BP 2 2 S + Ω 0 ( S) = H LP ( ) (3.7) BS

30 20 where, H LP (S) is same as in equation (3.6), B = Ω 2 Ω1is the bandwidth of the bandpass filter, Ω0 is the center frequency of the filter which equals Ω 2 Ω1. While Ω 1 and Ω 2 are the lower and upper edge frequencies of the bandpass filter respectively. 3 Frequency Scaling Frequency scaling can be used to obtain the Laplace transfer function of the lowpass filters at any edge frequency from the Laplace transfer function of the normalized lowpass filter. We can substitute S by S / K f in the Laplace transfer function of normalized lowpass filter and get a new Laplace transfer function. What occurs at ω in the original filter now will occur at K f ω in the new filter [12]. Begin Get the specifications of the filter No Is the specification reasonable? Yes Yes Compute the numerator and denominator coefficients of the Lapalce transfer function for a normalized lowpass filter Frequency transformation according to specifications Read a model template Frequency scaling and publish a VHDL-AMS model End Figure 3.4 Approach of Automatic Generation of Ideal Filter Model in VHDL-AMS Based on the above concepts and techniques, our approach of automatic

31 21 procedure to compute any desired coefficient set of Laplace transform for analog filters is given in Figure 3.4. As to other RF circuits, we can use the Laplace transform of a bandpass filter to model their bandwidth High-Level Modeling of the RF Functions The functions of RF blocks are different. The main function of a LNA is to provide enough gain to overcome the noise of subsequent stages. Basic function of a mixer is to provide frequency translation. Active mixers generally provide gain while passive mixer does not. Generally passive filters used in RF receivers have a finite loss in band. Thus, the function of a LNA can be modeled as an expression by Y ( t) = α * X ( t) (when ignoring the nonlinear effects), where α is the open loop gain of the LNA. Function of filter can be modeled by the same expression with different values of gain. The function of mixer (frequency translation) can be expressed by a multiplication of two signals in the time domain by equation (3.8). Y ( t) = α * X ( t) * X ( t) (3.8) where X (t) is the input RF signal, X LO (t) donates local IF signal and α donates the open loop gain of the mixer High-Level Modeling of Nonlinearity Assume the non-linear behavior of a circuit is y( t) α + t (3.9) LO x( t) + α 2x( t) α 3x( ) When x(t) is the signal with different frequencies and assume x( t) = A1 cosω 1t + A2 cosω2t, We get the following intermodulation (IM) products [12]: ω = ω ± ω α A A cos( ω + ω ) t + α A A cos( ω ) t (3.10) 1 2 : ω α 3A1 A2 3α 3A1 A2 = 2ω 1± ω2 : cos(2ω 1+ ω2) t + cos(2ω 1 ω 2) t (3.11) α 3A2 A1 3α 3A2 A1 = 2ω 2± ω1 : cos(2ω 2+ ω1) t + cos(2ω 2 ω1 ) t (3.12) 4 4

32 22 and fundamental components[12]: ω = ω1 : ( α1a1 + α3a1 + α3a1 A2 ) cosω1t (3.13) ω 2 : ( α1a2 + α 3 A2 + α 3 A2 A1 ) cosω2t (3.14) 4 2 In a typical two tone test, we have A 1 = A 2 = A. The ratio of the amplitude of the output third-order products to α 1 A defines the IM distortion (IMD) [12]. The IIP 3 is IMD IIP = (3.15) dbm P in dbm α 1 is the open-loop gain of the circuit. Since the second-order IM is not a big concern, the value of α 2 can be very small compared with α 3. The value of α 3 is determined by the desired performance characteristic nonlinearity (IIP 3 ) of the circuit. 3.2 Automatic High-Level Model Generation After giving approach for high-level modeling of the impedance, noise, bandwidth, function and nonlinearity of the RF circuits in last section, we will give an approach to automatically generate the high-level model of the RF circuits as below. 1. Following the proposed methods of modeling the impedance, noise, bandwidth, function and nonlinearity, create a high-level model for a RF circuit in VHDL-AMS. Use this VHDL-AMS model as a template. 2. Implement the same high-level model in SPICE. Write a testbench for this model including the necessary analysis and measure options. 3. Given desired performance characteristics of the RF circuit, a procedure automatically optimizes the parameters of the model, read the corresponding VHDL- AMS template and generate the VHDL-AMS model with the parameters. Thus, the automatic model generation is a process of optimizing the parameters of the models. Step 1 and step 2 are dependent on the type of RF circuits to be modeled. We will clearly illustrate step1 and step 2 in the Application section later in this chapter by

33 23 creating the high-level models of two types of RF circuits: analog filters and LNAs. Step 3, the automatic procedure of generating high-level model of analog RF components in VHDL-AMS is a general procedure and will be illustrated as below. By adding more templates, this automatic procedure will be able to create a high-level models library of analog RF components and the number of the models is unlimited Generation of the Parameter for Noise An available simulator fulfill our requirement is SPICE simulator. We translate the noise part of the model into SPICE as shown in Figure 3.5. Figure 3.5 Input Impedance and Noise Model in SPICE VHDL-AMS is a very flexible modeling language and it is easy to model a noiseless resistor and noise source. In SPICE, We use a noisy resistor to substitute the noise source that shown in Figure 3.3. When modeling a noiseless resistor in SPICE, we use a voltage control current source (G1) and a large resistor R0. We define the equivalent ideal resistor to be R2. If the Gain of G1 is 20m, R2 will be 50 Ω. R1, a noisy resistor, is the only noise source of the model. The total value of R1 and R2 equals to 50 Ω to have the impedance matched with 50Ω source impedance. The value of R1 is initially set to be 25 Ω. An automation procedure uses bisection algorithm to optimize the value for R1 (Gain of G1) according to the specified noise figure. The flow of optimizing the noise parameters is shown in Figure 3.6.

34 24 Figure 3.6 Optimization of the Model Parameter for Noise Since R1 is the only noise source in the model, the maximum noise figure of the model will be 3.01dB after simulation. This means the high-level model of a circuit with a noise figure under 3.01dB can be automatically generated by this approach. This limitation results from the unavailable user-defined noise source in SPICE. Fortunately, the performance characteristic on noise of the analog RF circuit that is particularly required having low noise is under this limit. For instance, typical noise figure of LNAs in heterodyne system is 2dB or even small. When modeling the circuit with a noise figure higher than 3.01dB, the model user is suggested to manually change the parameter mult in the noisesource VHDL-AMS model Generation of the Parameter for Bandwidth Since we do not want the in band signals attenuate too much in RF circuits and we do not care too much about the small signal s variation, 2nd-order Butterworth bandpass filter is chosen to model the frequency response of the circuit over a band. What is deserved to mention here is that, the bandwidth of a filter generally refers

35 25 the -3dB bandwidth. For some other RF circuits such as LNAs, people do not want to attenuate the useful (in-band) signal too much. Though usually -3dB is used as a limit for attenuation, while if an RF LNA is designed, the maximum attenuation of the in-band signals should be as small as possible and -3dB seems a little bit large. In our approach, we use -0.2dB as the limit for maximum attenuation for the circuits other than filters. We propose an automation procedure to optimize the model parameters to get desired frequency response (in band) of a RF circuit as Figure 3.7 shows. Previous block Receive the input bandwidth specification and design frequency of RF circuits Compute the edge frequencies of the 2 nd Butterworth bandpass filter Compute the coefficients of the Lapace transforms of the filter Begin Simulation Result file Measure the output voltage gain at the edge frequencies of the circuit being modeled Is the gain 0.2dB attenuated ( within a setted tolerrance)? yes Next block no Dynamically change the frequency step to enlarge the bandwidth of the filter Figure 3.7 Optimization of the Model Parameter for Bandwidth

36 Generation of the Parameter for Nonlinearity For the purpose of optimization, we normalize the equation 3.9 and get the following equation. α 2 2 α y( t) α 1[ x( t) + x( t) + α 3x( t) ] = α1[ x( t) + β1x( t) + β 2x( t) ] (3.16) α α 1 1 α 1 is the open loop gain of the circuit. When giving the desired performance characteristics of RF circuits, people always refer to the gain when impedance matched with 50 Ω. Based on our approach of modeling the output impedance, α 1 equals to 2* 20*log( GAIN ), where GAIN donates the value of desired gain of the circuit when impedance matched with 50 Ω. β 2 is optimized by an automatic procedure proposed as Figure 3.8 shows. Since the IM product of ω 1± ω2 is not a big concern, β 1 is set to be a small value compared with β 2. We set it to be 1% of the value of β 2. Because in most circuits of interest, the output is a compressive function of the input signal [12], so β 2 should be a negative value. Finally, the parameters are denormalized. The optimization flow of the parameters for nonlinearity of the circuit is shown in Figure 3.8.

37 27 From previous block Compute α 1, Initialize β 1 =1, β 2 =1 Begin simulation Result file Compute the IIP 3 Is IIP 3 fulfill the specification( error less than a tolerance) no Using Bisection method to determine the next value of β 2 yes β 1 =0.01*β 2 α 2 =α 1 *β 1, α 3 =α 1 *β 2 Next block Figure 3.8 Optimization of the Model Parameter for Nonlinearity 3.3 Application: High-Level Model of Analog Filters and LNAs In this section, following our approach presented above, we create high-level models in VHDL-AMS for two types of RF circuits - analog filters and LNAs. Usually, filters used in RF receivers exhibit 50 Ω resistive input impedances, and are of two types: passive and active. Passive filters may exhibit finite loss in the passband, and always exhibit noise. A LNA is usually the first stage of a modern superheterodyne receiver. The main function of LNA is to provide enough gain to overcome the noise of subsequent stages. In addition to the high gain, a LNA should add as little noise as possible, have good linearity, and has 50 Ω resistive input impedance. One example of the performance characteristic table of an analog filter used in communication system is given in Table 3.1. Typical characteristic tables of LNA used in

38 28 RF system are given in Table 3.2. [9] Table 3.1 Example of Performance Characteristics for an Analog Filter Design Method Response Type Center Freuency Bandwidth Gain Noise Figure IIP3 Input/Output Impedance 4 th -order butterworth filter Bandpass 900MHz 10MHz -2.1dB 3dB >11dBm 50 Ω Table 3.2 Typical Performance Characteristics for LNAs in Heterodyne Systems NF 2dB IIP3 >10dBm Gain 15dB Input and Output Impedance 50 Ω Input and Output Return Loss -15dB Reverse Isolation 20dB Stability Factor >1 Since this research is aimed to support top-down design for RF systems and systematic analysis, we are interested in some specific characteristics that affect circuit function and system performance. These characteristics are designed frequency, bandwidth, gain, NF and IIP Create High-level Models for Analog Filter and LNA Following the proposed methodology of modeling, high-level model of RF analog filters and a LNA are created in VHDL-AMS. The structure of the models is illustrated as Figure 3.9 shows.

39 29 Laplace Tranform α 1 x(t)+α 2 x(t) 2 +α 3 x(t) 3 R2(ideal) Vin N1(Noise Source) R1(ideal) Vout (a) Structure of High-level VHDL-AMS Models for Analog Filters L1 C1 Laplace Tranform α 1 x(t)+α 2 x(t) 2 +α 3 x(t) 3 R2(ideal) Vin N1(Noise Source) R1(ideal) Vout (b) Structure of High-level VHDL-AMS Models for LNAs Figure 3.9 Structures of High-level VHDL-AMS Models for Analog Filters&LNAs We use the equivalent circuit in Figure 3.3(a) to model the input impedance of analog filters because filters used in RF receivers usually exhibit 50 Ω resistive input impedance. While the input impedance of LNAs may be pure resistive only at the designed frequency, we use the equivalent circuit in Figure 3.3(b) to model the input impedance of LNAs. Equivalent high-level models in SPICE are shown in Figure 3.10.

40 30 (a) Structure of High-Level SPICE model of Analog Filters (b) Structure of High-Level SPICE Model of LNA Figure 3.10 Structures of High-Level Models for Analog Filters&LNAs in SPICE Create Testbench for High-level Model Template To automatically optimize the parameters for the high-level model, we create a testbench as shown in Figure In Figure 3.11, R1 is a noisey 50 Ω input source resistor. The gain of G1 equals 20m. G1 together with a larger resistor R1 can model a noiseless 50 Ω load resistor. V1 is a AC source with 1V amplitude. V2 and V3 are sine sources for two-tone test of the circuit. We will discuss the frequency and amplitude of these sources in next chapter.

41 31 Figure 3.11 Testbench of the Models for SPICE After parameters being optimized, we get high-level models of the circuit in VHDL-AMS. We give two examples: a 3 rd -order Butterworth lowpass filter and a 1.9GHz LNA Generation of High-level Models In this section, given the specifications for two types of circuits: analog filters and LNA, the proposed automatic procedure optimized the parameters of the model and publish the model in VHDL-AMS A High-level Analog Filter Model in VHDL-AMS We table the design specifications of a RF analog filter in Table 3.3. Table 3.3 Performance Characteristics of the Analog Filter Type 3 rd -order Butterworth lowpass Edge Frequency 1GHz Gain -1.8dB Noise Figure 3dB IIP3 >5dBm Input/Output Impedance 50 Ω The high-level VHDL-AMS model of this filter is given below. -- High-level LNA model -- Design Goal: -- Type: 3 rd -order Butterworth Lowpass filter -- Frequency: 1GHz -- Gain: -1.8dB -- NoiseFigure: 3dB -- IIP3: 5.5dBm

42 32 library ieee; use ieee.math_real.all; library ieee_proposed; use ieee_proposed.electrical_systems.all; entity filter is generic( rin:real:=50.0; rout:real:=50.0; factor_noise:real:=49.61; a1:real:= ; a2:real:= ; a3:real:= ); port(terminal input,output:electrical); end entity filter; architecture highlevel of filter is terminal t1, t2, t3:electrical; begin N1: entity work.noisesource(white) generic map( port map( R1: entity work.resistor(ideal) generic map(res=> rin) port map( p1=> t1, Lap1: IM1: mult => factor_noise) p1 => input, p2 => t1); p2=> electrical_ref); entity work.laplace(highleve) generic map( num=>(0=>1.0); den=>( 1.0, e-10, e-20, e-30) port map( input => input, output => t2); entity work.nonlinearity(beha) generic map(a1=>a1, a2=>a2, a3=> a3) port map( input=> t2, output=> t3); R2: entity work.resistor(ideal) generic map(res=>rout) port map( end architecture highlevel; p1=>t3, p2=>output);

43 33 library ieee_proposed; use ieee_proposed.electrical_systems.all; library ieee; use ieee.math_real.all; entity laplace is generic( num:real_vector; den:real_vector) port( terminal input, output:electrical); end entity laplace; architecture highlevel of laplace is quantity vin across input to electrical_ref; quantity vout across iout through output to electrical_ref; begin vout == vin'ltf(num, den); end architecture highlevel; A High-level Model of a 1.9GHz LNA in VHDL-AMS 3.4. The required performance characteristics of a 1.9GHz LNA are given in Table Table 3.4 Performance Characteristics of a 1.9GHz LNA Gain 17.1dB Bandwidth >25M Noise Figure 0.775dB IIP3 >3dBm The high-level VHDL-AMS structure model of this 1.9GHz LNA is given below. Models of the components used in this model are listed in the attachment. -- High-level LNA model -- Desired performance characteristic: -- Frequency: 1.9GHz -- Bandwidth: 25MHz -- Gain: 17.1dB -- NoiseFigure: 0.775dB -- IIP3: 3.5dBm library ieee;

44 34 use ieee.math_real.all; library ieee_proposed; use ieee_proposed.electrical_systems.all; entity LNA is generic( L:real:=10.0e-9; C:real:=701.67e-15; rin:real:=50.0; rout:real:=50.0; factor_noise:real:=9.97; a1:real:= 14.32; a2:real:= ; a3:real:= ); port(terminal input,output:electrical); end entity LNA; architecture highlevel of filter is terminal t1, t2,t3, t4, t5:electrical; begin L1: entity work.inductor(ideal) generic map( ind => L) port map( p1 => input, p2 => t1); C1: entity work.capacitor(ideal) generic map( cap => C) port map( p1=> t1, p2=>t2); N1: entity work.noisesource(white) generic map( port map( p1 => t2, p2 => t3); R1: entity work.resistor(ideal) generic map(res=> rin) port map( p1=> t3, Lap1: IM1: mult => factor_noise) p2=> electrical_ref); entity work.laplace(highlevel) generic map(num=>(0.0, , 0.0), den=> ( , , 1.0) generic map(res=> rin) port map( input => t2, output => t4); entity work.nonlinearity(beha) generic map(a1=>a1, a2=>a2, a3=> a3) port map( input=> t4,

45 35 output=> t5); R2: entity work.resistor(ideal) generic map(res=>rout) port map( p1=>t5, p2=>output); end architecture highlevel; VHDL-AMS models of Components used in the above models are listed in Appendix.

46 36 Chapter 4 Validation and Results This chapter presents the results of simulating the models investigated in the previous chapter in the testbench. Our purpose is to demonstrate that the proposed approach for automatically generating high-level model for analog RF circuits are effective and the generated high-level models are accurate compared with the real circuit and met the desired performance characteristics. The error criteria used in this thesis is relative error which is the ratio of the simulation result to a reference value. Types of simulations necessary for validating the models are listed in Table 4.1. Table 4.1 Types of Simulations for Validating RF Analog Filter&LNA Models Performance Characteristics Gain and Bandwidth Noise Nonlinearity Type of Simulation Frequency simulation Frequency simulation, Noise simulation Transient simulation, Harmonic analysis Since people are more interested in the accuracy of the edge frequency of the filters, when validating the filter models, we simulate the VHDL-AMS models in a VHDL-AMS environment and compare the edge frequency of the simulation result with the design specifications. 4.1 Validation of Analog Filter Models We consider two types of filters: Butterworth and Chebyshev (type I). The VHDL-AMS models for these filters were simulated for three conditions: lowpass, highpass and bandpass. Our destination was to 1) identify the correct function of the model, 2) determine the error (vs. ideal) of the model and 3) determine the accuracy of the VHDL-AMS simulation (vs. SPICE) of the model. The procedures of noise and nonlinearity validation for RF filter and LNA are the same and are treated only once in the validation of the LNA. The structure of testbench

47 37 for the filter models is shown in Figure 4.1. The input source is an AC source; no bias. For convenient, we set the gain of the filters to be 1 and the input and output impedance equal to 50 Ω. Filter models are simulated in a VHDL-AMS environment. The testbench in VHDL-AMS is given in Appendix. Figure 4.1 Testbench of the Analog Filter Model Function Evaluation We present some simulation results to demonstrate the correct function of the models. For all the simulation result plots of filters, the Y axis is the gain of the filter in db and the X axis is frequency in Hz. 1) Butterworth filter: Figure 4.2 shows the frequency response of a 4 th -order Butterworth lowpass filter. The gain of filter attenuates to -3dB at MHz. The gain attenuates 80dB every ten times frequency. Figure 4.2 Simulation Result of 4th-order Butterworth Lowpass Filter with Edge Frequency of 10MHz

48 38 Figure 4.3 Simulation Result of 4th-order Butterworth Highpass Filter with Edge Frequency of 10MHz Figure 4.3 shows the frequency response of a 4 th -order Butterworth highpass filter. The gain of filter attenuates to -3dB at MHz. The gain attenuates 80dB every ten times frequency. Figure 4.4 Simulation Result of 4th -order Butterworth Bandpass Filter with Center Frequency of 1MHz and Bandwidth of 30KHz Figure 4.4 shows the frequency response of a 4 th -order Butterworth badpass filter with center frequency of 1MHz and bandwidth of 30kHz. The gain of filter attenuates to - 3dB at MHz and MHz. 2) Chebyshev (Type I) filter: For 4 th -order Chebysheve (Type I) filter with 1dB ripple in band, the gain of the filter will attenuate to -1dB at the design edge frequency [12]. Figure 4.5 shows the

49 39 frequency response of a 4 th -order Chebyshev (Type I) lowpass filter with edge frequency at 10MHz and 1dB ripple in the pass band. The gain of filter attenuates to -1dB at 10MHz. According to [12], the gain of 4 th -order Chebysheve lowpass filter will attenuate to -80dB at MHz. Simulation result shows the gain of filter attenuates to -80dB at MHz. Figure 4.5 Simulation Result of 4 th -order Chevbyshev Lowpass Filter with Edge Frequency of 10 MHz and 1dB Ripple in Pass Band Figure 4.6 shows the frequency response of a 4 th -order Chebyshev (Type I) highpass filter with edge frequency at 10MHz and 1dB ripple in the pass band. The gain of filter attenuates to -1dB at 10MHz. Figure 4.6 Simulation Result of 4 th -Chebyshev Highpass Filter with Edge Frequency of 10MHz and 1dB Ripple in Pass Band Figure 4.7 shows the frequency response of a 4 th -order Chebyshev (type I) bandpass filter. The gain of filter attenuates to -1dB at MHz and MHz.

A high-level VHDL-AMS model design methodology for analog RF LNA and Mixer

A high-level VHDL-AMS model design methodology for analog RF LNA and Mixer A high-level VHDL-AMS model design methodology for analog RF LNA and Mixer Wei Yang, Hal. Carter, Jianping Yan University of Cincinnati Outline Introduction Design Approach Model Validation In The Case

More information

Radio Receiver Architectures and Analysis

Radio Receiver Architectures and Analysis Radio Receiver Architectures and Analysis Robert Wilson December 6, 01 Abstract This article discusses some common receiver architectures and analyzes some of the impairments that apply to each. 1 Contents

More information

6.976 High Speed Communication Circuits and Systems Lecture 20 Performance Measures of Wireless Communication

6.976 High Speed Communication Circuits and Systems Lecture 20 Performance Measures of Wireless Communication 6.976 High Speed Communication Circuits and Systems Lecture 20 Performance Measures of Wireless Communication Michael Perrott Massachusetts Institute of Technology Copyright 2003 by Michael H. Perrott

More information

6.976 High Speed Communication Circuits and Systems Lecture 8 Noise Figure, Impact of Amplifier Nonlinearities

6.976 High Speed Communication Circuits and Systems Lecture 8 Noise Figure, Impact of Amplifier Nonlinearities 6.976 High Speed Communication Circuits and Systems Lecture 8 Noise Figure, Impact of Amplifier Nonlinearities Michael Perrott Massachusetts Institute of Technology Copyright 2003 by Michael H. Perrott

More information

TSEK02: Radio Electronics Lecture 8: RX Nonlinearity Issues, Demodulation. Ted Johansson, EKS, ISY

TSEK02: Radio Electronics Lecture 8: RX Nonlinearity Issues, Demodulation. Ted Johansson, EKS, ISY TSEK02: Radio Electronics Lecture 8: RX Nonlinearity Issues, Demodulation Ted Johansson, EKS, ISY RX Nonlinearity Issues: 2.2, 2.4 Demodulation: not in the book 2 RX nonlinearities System Nonlinearity

More information

Designing a 960 MHz CMOS LNA and Mixer using ADS. EE 5390 RFIC Design Michelle Montoya Alfredo Perez. April 15, 2004

Designing a 960 MHz CMOS LNA and Mixer using ADS. EE 5390 RFIC Design Michelle Montoya Alfredo Perez. April 15, 2004 Designing a 960 MHz CMOS LNA and Mixer using ADS EE 5390 RFIC Design Michelle Montoya Alfredo Perez April 15, 2004 The University of Texas at El Paso Dr Tim S. Yao ABSTRACT Two circuits satisfying the

More information

Appendix. Harmonic Balance Simulator. Page 1

Appendix. Harmonic Balance Simulator. Page 1 Appendix Harmonic Balance Simulator Page 1 Harmonic Balance for Large Signal AC and S-parameter Simulation Harmonic Balance is a frequency domain analysis technique for simulating distortion in nonlinear

More information

TSEK02: Radio Electronics Lecture 8: RX Nonlinearity Issues, Demodulation. Ted Johansson, EKS, ISY

TSEK02: Radio Electronics Lecture 8: RX Nonlinearity Issues, Demodulation. Ted Johansson, EKS, ISY TSEK02: Radio Electronics Lecture 8: RX Nonlinearity Issues, Demodulation Ted Johansson, EKS, ISY 2 RX Nonlinearity Issues, Demodulation RX nonlinearities (parts of 2.2) System Nonlinearity Sensitivity

More information

Keysight Technologies Making Accurate Intermodulation Distortion Measurements with the PNA-X Network Analyzer, 10 MHz to 26.5 GHz

Keysight Technologies Making Accurate Intermodulation Distortion Measurements with the PNA-X Network Analyzer, 10 MHz to 26.5 GHz Keysight Technologies Making Accurate Intermodulation Distortion Measurements with the PNA-X Network Analyzer, 10 MHz to 26.5 GHz Application Note Overview This application note describes accuracy considerations

More information

RF Fundamental Concepts and Performance Parameters

RF Fundamental Concepts and Performance Parameters RF Fundamental Concepts and erformance arameters CCE 50 RF and Microwave System Design Dr. Owen Casha B. Eng. (Hons.) h.d. 09/0/0 Overview Introduction Nonlinearity and Time Variance System Noise Thermal

More information

+ 2. Basic concepts of RFIC design

+ 2. Basic concepts of RFIC design + 2. Basic concepts of RFIC design 1 A. Thanachayanont RF Microelectronics + General considerations: 2 Units in RF design n Voltage gain and power gain n Ap and Av are equal if vin and vout appear across

More information

ELEN 701 RF & Microwave Systems Engineering. Lecture 8 November 8, 2006 Dr. Michael Thorburn Santa Clara University

ELEN 701 RF & Microwave Systems Engineering. Lecture 8 November 8, 2006 Dr. Michael Thorburn Santa Clara University ELEN 701 RF & Microwave Systems Engineering Lecture 8 November 8, 2006 Dr. Michael Thorburn Santa Clara University System Noise Figure Signal S1 Noise N1 GAIN = G Signal G x S1 Noise G x (N1+No) Self Noise

More information

High Dynamic Range Receiver Parameters

High Dynamic Range Receiver Parameters High Dynamic Range Receiver Parameters The concept of a high-dynamic-range receiver implies more than an ability to detect, with low distortion, desired signals differing, in amplitude by as much as 90

More information

RF/IF Terminology and Specs

RF/IF Terminology and Specs RF/IF Terminology and Specs Contributors: Brad Brannon John Greichen Leo McHugh Eamon Nash Eberhard Brunner 1 Terminology LNA - Low-Noise Amplifier. A specialized amplifier to boost the very small received

More information

Introduction to Receivers

Introduction to Receivers Introduction to Receivers Purpose: translate RF signals to baseband Shift frequency Amplify Filter Demodulate Why is this a challenge? Interference Large dynamic range required Many receivers must be capable

More information

Receiver Architecture

Receiver Architecture Receiver Architecture Receiver basics Channel selection why not at RF? BPF first or LNA first? Direct digitization of RF signal Receiver architectures Sub-sampling receiver noise problem Heterodyne receiver

More information

Session 3. CMOS RF IC Design Principles

Session 3. CMOS RF IC Design Principles Session 3 CMOS RF IC Design Principles Session Delivered by: D. Varun 1 Session Topics Standards RF wireless communications Multi standard RF transceivers RF front end architectures Frequency down conversion

More information

RF CMOS 0.5 µm Low Noise Amplifier and Mixer Design

RF CMOS 0.5 µm Low Noise Amplifier and Mixer Design RF CMOS 0.5 µm Low Noise Amplifier and Mixer Design By VIKRAM JAYARAM, B.Tech Signal Processing and Communication Group & UMESH UTHAMAN, B.E Nanomil FINAL PROJECT Presented to Dr.Tim S Yao of Department

More information

CHAPTER 3 CMOS LOW NOISE AMPLIFIERS

CHAPTER 3 CMOS LOW NOISE AMPLIFIERS 46 CHAPTER 3 CMOS LOW NOISE AMPLIFIERS 3.1 INTRODUCTION The Low Noise Amplifier (LNA) plays an important role in the receiver design. LNA serves as the first block in the RF receiver. It is a critical

More information

Low noise amplifier, principles

Low noise amplifier, principles 1 Low noise amplifier, principles l l Low noise amplifier (LNA) design Introduction -port noise theory, review LNA gain/noise desense Bias network and its effect on LNA IP3 LNA stability References Why

More information

A 3 5 GHz CMOS High Linearity Ultra Wideband Low Noise Amplifier in 0.18µ CMOS

A 3 5 GHz CMOS High Linearity Ultra Wideband Low Noise Amplifier in 0.18µ CMOS Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November -, 6 5 A 5 GHz CMOS High Linearity Ultra Wideband Low Noise Amplifier in.8µ

More information

Active Filter Design Techniques

Active Filter Design Techniques Active Filter Design Techniques 16.1 Introduction What is a filter? A filter is a device that passes electric signals at certain frequencies or frequency ranges while preventing the passage of others.

More information

Electric Circuit Theory

Electric Circuit Theory Electric Circuit Theory Nam Ki Min nkmin@korea.ac.kr 010-9419-2320 Chapter 15 Active Filter Circuits Nam Ki Min nkmin@korea.ac.kr 010-9419-2320 Contents and Objectives 3 Chapter Contents 15.1 First-Order

More information

Keysight Technologies Pulsed Antenna Measurements Using PNA Network Analyzers

Keysight Technologies Pulsed Antenna Measurements Using PNA Network Analyzers Keysight Technologies Pulsed Antenna Measurements Using PNA Network Analyzers White Paper Abstract This paper presents advances in the instrumentation techniques that can be used for the measurement and

More information

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design Chapter 6 Case Study: 2.4-GHz Direct Conversion Receiver The chapter presents a 0.25-µm CMOS receiver front-end designed for 2.4-GHz direct conversion RF transceiver and demonstrates the necessity and

More information

TSEK38 Radio Frequency Transceiver Design: Project work B

TSEK38 Radio Frequency Transceiver Design: Project work B TSEK38 Project Work: Task specification A 1(15) TSEK38 Radio Frequency Transceiver Design: Project work B Course home page: Course responsible: http://www.isy.liu.se/en/edu/kurs/tsek38/ Ted Johansson (ted.johansson@liu.se)

More information

1 Introduction RF receivers Transmission observation receiver Thesis Objectives Outline... 3

1 Introduction RF receivers Transmission observation receiver Thesis Objectives Outline... 3 Printed in Sweden E-huset, Lund, 2016 Abstract In this thesis work, a highly linear passive attenuator and mixer were designed to be used in a wide-band Transmission Observation Receiver (TOR). The TOR

More information

Understanding RF and Microwave Analysis Basics

Understanding RF and Microwave Analysis Basics Understanding RF and Microwave Analysis Basics Kimberly Cassacia Product Line Brand Manager Keysight Technologies Agenda µw Analysis Basics Page 2 RF Signal Analyzer Overview & Basic Settings Overview

More information

Noise and Distortion in Microwave System

Noise and Distortion in Microwave System Noise and Distortion in Microwave System Prof. Tzong-Lin Wu EMC Laboratory Department of Electrical Engineering National Taiwan University 1 Introduction Noise is a random process from many sources: thermal,

More information

Outline. Noise and Distortion. Noise basics Component and system noise Distortion INF4420. Jørgen Andreas Michaelsen Spring / 45 2 / 45

Outline. Noise and Distortion. Noise basics Component and system noise Distortion INF4420. Jørgen Andreas Michaelsen Spring / 45 2 / 45 INF440 Noise and Distortion Jørgen Andreas Michaelsen Spring 013 1 / 45 Outline Noise basics Component and system noise Distortion Spring 013 Noise and distortion / 45 Introduction We have already considered

More information

SmartSpice RF Harmonic Balance Based and Shooting Method Based RF Simulation

SmartSpice RF Harmonic Balance Based and Shooting Method Based RF Simulation SmartSpice RF Harmonic Balance Based and Shooting Method Based RF Simulation Silvaco Overview SSRF Attributes Harmonic balance approach to solve system of equations in frequency domain Well suited for

More information

CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN

CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN 93 CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN 4.1 INTRODUCTION Ultra Wide Band (UWB) system is capable of transmitting data over a wide spectrum of frequency bands with low power and high data

More information

Low-Noise Amplifiers

Low-Noise Amplifiers 007/Oct 4, 31 1 General Considerations Noise Figure Low-Noise Amplifiers Table 6.1 Typical LNA characteristics in heterodyne systems. NF IIP 3 db 10 dbm Gain 15 db Input and Output Impedance 50 Ω Input

More information

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT 678A 40MHZ TO 900MHZ DIRECT CONVERSION QUADRATURE DEMODULATOR

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT 678A 40MHZ TO 900MHZ DIRECT CONVERSION QUADRATURE DEMODULATOR DESCRIPTION QUICK START GUIDE FOR DEMONSTRATION CIRCUIT 678A LT5517 Demonstration circuit 678A is a 40MHz to 900MHz Direct Conversion Quadrature Demodulator featuring the LT5517. The LT 5517 is a direct

More information

More notes on intercept points: 11/06 Read these notes with the other related notes ( intermod_notes)

More notes on intercept points: 11/06 Read these notes with the other related notes ( intermod_notes) More notes on intercept points: 11/06 Read these notes with the other related notes ( intermod_notes) 1.0 Gain compression: If a signal: x(t) = ACosωt is input to a nonlinear system, we get a nonlinear

More information

1. Distortion in Nonlinear Systems

1. Distortion in Nonlinear Systems ECE145A/ECE18A Performance Limitations of Amplifiers 1. Distortion in Nonlinear Systems The upper limit of useful operation is limited by distortion. All analog systems and components of systems (amplifiers

More information

RF System Design and Analysis Software Enhances RF Architectural Planning

RF System Design and Analysis Software Enhances RF Architectural Planning RF System Design and Analysis Software Enhances RF Architectural Planning By Dale D. Henkes Applied Computational Sciences (ACS) Historically, commercial software This new software enables convenient simulation

More information

FUNDAMENTALS OF ANALOG TO DIGITAL CONVERTERS: PART I.1

FUNDAMENTALS OF ANALOG TO DIGITAL CONVERTERS: PART I.1 FUNDAMENTALS OF ANALOG TO DIGITAL CONVERTERS: PART I.1 Many of these slides were provided by Dr. Sebastian Hoyos January 2019 Texas A&M University 1 Spring, 2019 Outline Fundamentals of Analog-to-Digital

More information

NOISE FACTOR [or noise figure (NF) in decibels] is an

NOISE FACTOR [or noise figure (NF) in decibels] is an 1330 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 51, NO. 7, JULY 2004 Noise Figure of Digital Communication Receivers Revisited Won Namgoong, Member, IEEE, and Jongrit Lerdworatawee,

More information

PARAMETER CONDITIONS TYPICAL PERFORMANCE Operating Supply Voltage 3.1V to 3.5V Supply Current V CC = 3.3V, LO applied 152mA

PARAMETER CONDITIONS TYPICAL PERFORMANCE Operating Supply Voltage 3.1V to 3.5V Supply Current V CC = 3.3V, LO applied 152mA DESCRIPTION LT5578 Demonstration circuit 1545A-x is a high linearity upconverting mixer featuring the LT5578. The LT 5578 is a high performance upconverting mixer IC optimized for output frequencies in

More information

CMOS RFIC Design for Direct Conversion Receivers. Zhaofeng ZHANG Supervisor: Dr. Jack Lau

CMOS RFIC Design for Direct Conversion Receivers. Zhaofeng ZHANG Supervisor: Dr. Jack Lau CMOS RFIC Design for Direct Conversion Receivers Zhaofeng ZHANG Supervisor: Dr. Jack Lau Outline of Presentation Background Introduction Thesis Contributions Design Issues and Solutions A Direct Conversion

More information

Measuring Non-linear Amplifiers

Measuring Non-linear Amplifiers Measuring Non-linear Amplifiers Transceiver Components & Measuring Techniques MM3 Jan Hvolgaard Mikkelsen Radio Frequency Integrated Systems and Circuits Division Aalborg University 27 Agenda Non-linear

More information

Mixer. General Considerations V RF VLO. Noise. nonlinear, R ON

Mixer. General Considerations V RF VLO. Noise. nonlinear, R ON 007/Nov/7 Mixer General Considerations LO S M F F LO L Noise ( a) nonlinearity (b) Figure 6.5 (a) Simple switch used as mixer (b) implementation of switch with an NMOS device. espect to espect to It is

More information

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Thomas H. Lee Stanford University, Stanford, CA At submicron channel lengths, CMOS is

More information

LNA Design Using SpectreRF. SpectreRF Workshop. LNA Design Using SpectreRF MMSIM6.0USR2. November

LNA Design Using SpectreRF. SpectreRF Workshop. LNA Design Using SpectreRF MMSIM6.0USR2. November SpectreRF Workshop LNA Design Using SpectreRF MMSIM6.0USR2 November 2005 November 2005 1 Contents Lower Noise Amplifier Design Measurements... 3 Purpose... 3 Audience... 3 Overview... 3 Introduction to

More information

2.1 BASIC CONCEPTS Basic Operations on Signals Time Shifting. Figure 2.2 Time shifting of a signal. Time Reversal.

2.1 BASIC CONCEPTS Basic Operations on Signals Time Shifting. Figure 2.2 Time shifting of a signal. Time Reversal. 1 2.1 BASIC CONCEPTS 2.1.1 Basic Operations on Signals Time Shifting. Figure 2.2 Time shifting of a signal. Time Reversal. 2 Time Scaling. Figure 2.4 Time scaling of a signal. 2.1.2 Classification of Signals

More information

Texas A&M University Electrical Engineering Department ECEN 665. Laboratory #4: Analysis and Simulation of a CMOS Mixer

Texas A&M University Electrical Engineering Department ECEN 665. Laboratory #4: Analysis and Simulation of a CMOS Mixer Texas A&M University Electrical Engineering Department ECEN 665 Laboratory #4: Analysis and Simulation of a CMOS Mixer Objectives: To learn the use of periodic steady state (pss) simulation tools in spectre

More information

Today s communication

Today s communication From October 2009 High Frequency Electronics Copyright 2009 Summit Technical Media, LLC Selecting High-Linearity Mixers for Wireless Base Stations By Stephanie Overhoff Maxim Integrated Products, Inc.

More information

Termination Insensitive Mixers By Howard Hausman President/CEO, MITEQ, Inc. 100 Davids Drive Hauppauge, NY

Termination Insensitive Mixers By Howard Hausman President/CEO, MITEQ, Inc. 100 Davids Drive Hauppauge, NY Termination Insensitive Mixers By Howard Hausman President/CEO, MITEQ, Inc. 100 Davids Drive Hauppauge, NY 11788 hhausman@miteq.com Abstract Microwave mixers are non-linear devices that are used to translate

More information

ADI 2006 RF Seminar. Chapter II RF/IF Components and Specifications for Receivers

ADI 2006 RF Seminar. Chapter II RF/IF Components and Specifications for Receivers ADI 2006 RF Seminar Chapter II RF/IF Components and Specifications for Receivers 1 RF/IF Components and Specifications for Receivers Fixed Gain and Variable Gain Amplifiers IQ Demodulators Analog-to-Digital

More information

RF Receiver Hardware Design

RF Receiver Hardware Design RF Receiver Hardware Design Bill Sward bsward@rtlogic.com February 18, 2011 Topics Customer Requirements Communication link environment Performance Parameters/Metrics Frequency Conversion Architectures

More information

Direct-Conversion I-Q Modulator Simulation by Andy Howard, Applications Engineer Agilent EEsof EDA

Direct-Conversion I-Q Modulator Simulation by Andy Howard, Applications Engineer Agilent EEsof EDA Direct-Conversion I-Q Modulator Simulation by Andy Howard, Applications Engineer Agilent EEsof EDA Introduction This article covers an Agilent EEsof ADS example that shows the simulation of a directconversion,

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering And Computer Sciences MULTIFREQUENCY CELL IMPEDENCE MEASUREMENT

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering And Computer Sciences MULTIFREQUENCY CELL IMPEDENCE MEASUREMENT UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering And Computer Sciences MULTIFREQUENCY CELL IMPEDENCE MEASUREMENT EE247 Term Project Eddie Ng Mounir Bohsali Professor

More information

SmartSpice RF Harmonic Balance Based RF Simulator. Advanced RF Circuit Simulation

SmartSpice RF Harmonic Balance Based RF Simulator. Advanced RF Circuit Simulation SmartSpice RF Harmonic Balance Based RF Simulator Advanced RF Circuit Simulation SmartSpice RF Overview Uses harmonic balance approach to solve system equations in frequency domain Well suited for RF and

More information

RF Circuit Synthesis for Physical Wireless Design

RF Circuit Synthesis for Physical Wireless Design RF Circuit Synthesis for Physical Wireless Design Overview Subjects Review Of Common Design Tasks Break Down And Dissect Design Task Review Non-Synthesis Methods Show A Better Way To Solve Complex Design

More information

MAKING TRANSIENT ANTENNA MEASUREMENTS

MAKING TRANSIENT ANTENNA MEASUREMENTS MAKING TRANSIENT ANTENNA MEASUREMENTS Roger Dygert, Steven R. Nichols MI Technologies, 1125 Satellite Boulevard, Suite 100 Suwanee, GA 30024-4629 ABSTRACT In addition to steady state performance, antennas

More information

Analog Baseband Implementation of a Wideband Observation Receiver for RF Applications

Analog Baseband Implementation of a Wideband Observation Receiver for RF Applications Master of Science Thesis in Electrical Engineering Department of Electrical Engineering, Linköping University, 2016 Analog Baseband Implementation of a Wideband Observation Receiver for RF Applications

More information

Digital Processing of Continuous-Time Signals

Digital Processing of Continuous-Time Signals Chapter 4 Digital Processing of Continuous-Time Signals 清大電機系林嘉文 cwlin@ee.nthu.edu.tw 03-5731152 Original PowerPoint slides prepared by S. K. Mitra 4-1-1 Digital Processing of Continuous-Time Signals Digital

More information

Ansys Designer RF Training Lecture 3: Nexxim Circuit Analysis for RF

Ansys Designer RF Training Lecture 3: Nexxim Circuit Analysis for RF Ansys Designer RF Solutions for RF/Microwave Component and System Design 7. 0 Release Ansys Designer RF Training Lecture 3: Nexxim Circuit Analysis for RF Designer Overview Ansoft Designer Advanced Design

More information

CMOS Design of Wideband Inductor-Less LNA

CMOS Design of Wideband Inductor-Less LNA IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 8, Issue 3, Ver. I (May.-June. 2018), PP 25-30 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org CMOS Design of Wideband Inductor-Less

More information

1 MHz 6 GHz RF Mixer with built in PLL Synthesizer

1 MHz 6 GHz RF Mixer with built in PLL Synthesizer Windfreak Technologies Preliminary Data Sheet v0.1a MixNV Active Mixer v1.4a $499.00US 1 MHz 6 GHz RF Mixer with built in PLL Synthesizer Features Open source Labveiw GUI software control via USB Run hardware

More information

Channel Characteristics and Impairments

Channel Characteristics and Impairments ELEX 3525 : Data Communications 2013 Winter Session Channel Characteristics and Impairments is lecture describes some of the most common channel characteristics and impairments. A er this lecture you should

More information

Behzad Razavi, RF Microelectronics, Prentice Hall PTR, 1998

Behzad Razavi, RF Microelectronics, Prentice Hall PTR, 1998 2008/Sep/17 1 Text Book: Behzad Razavi, RF Microelectronics, Prentice Hall PTR, 1998 References: (MSR) Thomas H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, 2/e, Cambridge University Press,

More information

A 5 GHz CMOS Low Power Down-conversion Mixer for Wireless LAN Applications

A 5 GHz CMOS Low Power Down-conversion Mixer for Wireless LAN Applications Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTES, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-, 2006 26 A 5 GHz COS Low Power Down-conversion ixer for Wireless LAN Applications

More information

Digital Processing of

Digital Processing of Chapter 4 Digital Processing of Continuous-Time Signals 清大電機系林嘉文 cwlin@ee.nthu.edu.tw 03-5731152 Original PowerPoint slides prepared by S. K. Mitra 4-1-1 Digital Processing of Continuous-Time Signals Digital

More information

Measurements 2: Network Analysis

Measurements 2: Network Analysis Measurements 2: Network Analysis Fritz Caspers CAS, Aarhus, June 2010 Contents Scalar network analysis Vector network analysis Early concepts Modern instrumentation Calibration methods Time domain (synthetic

More information

APPLICATION NOTE 3942 Optimize the Buffer Amplifier/ADC Connection

APPLICATION NOTE 3942 Optimize the Buffer Amplifier/ADC Connection Maxim > Design Support > Technical Documents > Application Notes > Communications Circuits > APP 3942 Maxim > Design Support > Technical Documents > Application Notes > High-Speed Interconnect > APP 3942

More information

2.2 INTERCONNECTS AND TRANSMISSION LINE MODELS

2.2 INTERCONNECTS AND TRANSMISSION LINE MODELS CHAPTER 2 MODELING OF SELF-HEATING IN IC INTERCONNECTS AND INVESTIGATION ON THE IMPACT ON INTERMODULATION DISTORTION 2.1 CONCEPT OF SELF-HEATING As the frequency of operation increases, especially in the

More information

RADIO RECEIVERS ECE 3103 WIRELESS COMMUNICATION SYSTEMS

RADIO RECEIVERS ECE 3103 WIRELESS COMMUNICATION SYSTEMS RADIO RECEIVERS ECE 3103 WIRELESS COMMUNICATION SYSTEMS FUNCTIONS OF A RADIO RECEIVER The main functions of a radio receiver are: 1. To intercept the RF signal by using the receiver antenna 2. Select the

More information

Agilent PNA Microwave Network Analyzers

Agilent PNA Microwave Network Analyzers Agilent PNA Microwave Network Analyzers Application Note 1408-1 Mixer Transmission Measurements Using The Frequency Converter Application Introduction Frequency-converting devices are one of the fundamental

More information

RF, Microwave & Wireless. All rights reserved

RF, Microwave & Wireless. All rights reserved RF, Microwave & Wireless All rights reserved 1 Non-Linearity Phenomenon All rights reserved 2 Physical causes of nonlinearity Operation under finite power-supply voltages Essential non-linear characteristics

More information

RF Integrated Circuits

RF Integrated Circuits Introduction and Motivation RF Integrated Circuits The recent explosion in the radio frequency (RF) and wireless market has caught the semiconductor industry by surprise. The increasing demand for affordable

More information

TSEK03: Radio Frequency Integrated Circuits (RFIC) Lecture 5-6: Mixers

TSEK03: Radio Frequency Integrated Circuits (RFIC) Lecture 5-6: Mixers TSEK03: Radio Frequency Integrated Circuits (RFIC) Lecture 5-6: Mixers Ted Johansson, EKS, ISY ted.johansson@liu.se Overview 2 Razavi: Chapter 6.1-6.3, pp. 343-398. Lee: Chapter 13. 6.1 Mixers general

More information

ECE 203 LAB 2 PRACTICAL FILTER DESIGN & IMPLEMENTATION

ECE 203 LAB 2 PRACTICAL FILTER DESIGN & IMPLEMENTATION Version 1. 1 of 7 ECE 03 LAB PRACTICAL FILTER DESIGN & IMPLEMENTATION BEFORE YOU BEGIN PREREQUISITE LABS ECE 01 Labs ECE 0 Advanced MATLAB ECE 03 MATLAB Signals & Systems EXPECTED KNOWLEDGE Understanding

More information

Chapter 2. The Fundamentals of Electronics: A Review

Chapter 2. The Fundamentals of Electronics: A Review Chapter 2 The Fundamentals of Electronics: A Review Topics Covered 2-1: Gain, Attenuation, and Decibels 2-2: Tuned Circuits 2-3: Filters 2-4: Fourier Theory 2-1: Gain, Attenuation, and Decibels Most circuits

More information

MGA-632P8 1.9 GHz low noise amplifier Application Note 5295

MGA-632P8 1.9 GHz low noise amplifier Application Note 5295 MGA-63P8 1.9 GHz low noise amplifier Application Note 595 Introduction The MGA-63P8 is a GaAs EPHEMT LNA with integrated active bias. The target applications are Tower Mounted Amplifiers and LNAs in cellular

More information

System-Level Time-Domain Behavioral Modeling for A Mobile WiMax Transceiver

System-Level Time-Domain Behavioral Modeling for A Mobile WiMax Transceiver System-Level Time-Domain Behavioral Modeling for A Mobile WiMax Transceiver Jie He, Jun Seo Yang, Yongsup Kim, and Austin S. Kim HIDS Lab, Telecommunication R&D Center, Samsung Electronics jie.he@samung.com,

More information

CMOS LNA Design for Ultra Wide Band - Review

CMOS LNA Design for Ultra Wide Band - Review International Journal of Innovation and Scientific Research ISSN 235-804 Vol. No. 2 Nov. 204, pp. 356-362 204 Innovative Space of Scientific Research Journals http://www.ijisr.issr-journals.org/ CMOS LNA

More information

New System Simulator Includes Spectral Domain Analysis

New System Simulator Includes Spectral Domain Analysis New System Simulator Includes Spectral Domain Analysis By Dale D. Henkes, ACS Figure 1: The ACS Visual System Architect s System Schematic With advances in RF and wireless technology, it is often the case

More information

433MHz front-end with the SA601 or SA620

433MHz front-end with the SA601 or SA620 433MHz front-end with the SA60 or SA620 AN9502 Author: Rob Bouwer ABSTRACT Although designed for GHz, the SA60 and SA620 can also be used in the 433MHz ISM band. The SA60 performs amplification of the

More information

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Marvin Onabajo Assistant Professor Analog and Mixed-Signal Integrated Circuits (AMSIC) Research Laboratory Dept.

More information

Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design. by Dr. Stephen Long University of California, Santa Barbara

Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design. by Dr. Stephen Long University of California, Santa Barbara Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design by Dr. Stephen Long University of California, Santa Barbara It is not easy to design an RFIC mixer. Different, sometimes conflicting,

More information

Behavioral Modeling of Digital Pre-Distortion Amplifier Systems

Behavioral Modeling of Digital Pre-Distortion Amplifier Systems Behavioral Modeling of Digital Pre-Distortion Amplifier Systems By Tim Reeves, and Mike Mulligan, The MathWorks, Inc. ABSTRACT - With time to market pressures in the wireless telecomm industry shortened

More information

Highly linear common-gate mixer employing intrinsic second and third order distortion cancellation

Highly linear common-gate mixer employing intrinsic second and third order distortion cancellation Highly linear common-gate mixer employing intrinsic second and third order distortion cancellation Mahdi Parvizi a), and Abdolreza Nabavi b) Microelectronics Laboratory, Tarbiat Modares University, Tehran

More information

Receiver Design. Prof. Tzong-Lin Wu EMC Laboratory Department of Electrical Engineering National Taiwan University 2011/2/21

Receiver Design. Prof. Tzong-Lin Wu EMC Laboratory Department of Electrical Engineering National Taiwan University 2011/2/21 Receiver Design Prof. Tzong-Lin Wu EMC Laboratory Department of Electrical Engineering National Taiwan University 2011/2/21 MW & RF Design / Prof. T. -L. Wu 1 The receiver mush be very sensitive to -110dBm

More information

Bridging the Gap between System & Circuit Designers

Bridging the Gap between System & Circuit Designers Bridging the Gap between System & Circuit Designers October 27, 2004 Presented by: Kal Kalbasi Q & A Marc Petersen Copyright 2003 Agilent Technologies, Inc. The Gap System Communication System Design System

More information

2 Gain Variation from the Receiver Output through the IF Path

2 Gain Variation from the Receiver Output through the IF Path EVLA Memo #185 Bandwidth- and Frequency-Dependent Effects in the T34 Total Power Detector Keith Morris September 17, 214 1 Introduction The EVLA Intermediate Frequency (IF) system employs a system of power

More information

Design of a Low Noise Amplifier using 0.18µm CMOS technology

Design of a Low Noise Amplifier using 0.18µm CMOS technology The International Journal Of Engineering And Science (IJES) Volume 4 Issue 6 Pages PP.11-16 June - 2015 ISSN (e): 2319 1813 ISSN (p): 2319 1805 Design of a Low Noise Amplifier using 0.18µm CMOS technology

More information

Michael F. Toner, et. al.. "Distortion Measurement." Copyright 2000 CRC Press LLC. <

Michael F. Toner, et. al.. Distortion Measurement. Copyright 2000 CRC Press LLC. < Michael F. Toner, et. al.. "Distortion Measurement." Copyright CRC Press LLC. . Distortion Measurement Michael F. Toner Nortel Networks Gordon W. Roberts McGill University 53.1

More information

Making Noise in RF Receivers Simulate Real-World Signals with Signal Generators

Making Noise in RF Receivers Simulate Real-World Signals with Signal Generators Making Noise in RF Receivers Simulate Real-World Signals with Signal Generators Noise is an unwanted signal. In communication systems, noise affects both transmitter and receiver performance. It degrades

More information

A Low Noise Amplifier with HF Selectivity

A Low Noise Amplifier with HF Selectivity A Low Noise Amplifier with HF Selectivity Johan Karlsson Mikael Grudd Radio project 2008 Department of Electrical and Information Technology Lund University Supervisor: Göran Jönsson Abstract This report

More information

A 900MHz / 1.8GHz CMOS Receiver for Dual Band Applications*

A 900MHz / 1.8GHz CMOS Receiver for Dual Band Applications* FA 8.2: S. Wu, B. Razavi A 900MHz / 1.8GHz CMOS Receiver for Dual Band Applications* University of California, Los Angeles, CA This dual-band CMOS receiver for GSM and DCS1800 applications incorporates

More information

High-Linearity CMOS. RF Front-End Circuits

High-Linearity CMOS. RF Front-End Circuits High-Linearity CMOS RF Front-End Circuits Yongwang Ding Ramesh Harjani iigh-linearity CMOS tf Front-End Circuits - Springer Library of Congress Cataloging-in-Publication Data A C.I.P. Catalogue record

More information

Understanding Mixers Terms Defined, and Measuring Performance

Understanding Mixers Terms Defined, and Measuring Performance Understanding Mixers Terms Defined, and Measuring Performance Mixer Terms Defined Statistical Processing Applied to Mixers Today's stringent demands for precise electronic systems place a heavy burden

More information

UNIVERSITY OF CINCINNATI

UNIVERSITY OF CINCINNATI UNIVERSITY OF CINCINNATI March 9'th, 20 01 I,, Murthy N. Revanuru hereby submit this as part of the requirements for the degree of: Master of Science in: Computer Engineering It is entitled: " Modeling

More information

Application Note 5295

Application Note 5295 MGA-63P8 1.9 GHz low noise amplifier using MGA-63P8 Application Note 595 Introduction The MGA-63P8 is a GaAs EPHEMT with an integrated active bias. The target applications are Tower Mounted Amplifier /

More information

Analysis and Design of Autonomous Microwave Circuits

Analysis and Design of Autonomous Microwave Circuits Analysis and Design of Autonomous Microwave Circuits ALMUDENA SUAREZ IEEE PRESS WILEY A JOHN WILEY & SONS, INC., PUBLICATION Contents Preface xiii 1 Oscillator Dynamics 1 1.1 Introduction 1 1.2 Operational

More information

TSEK38: Radio Frequency Transceiver Design Lecture 3: Superheterodyne TRX design

TSEK38: Radio Frequency Transceiver Design Lecture 3: Superheterodyne TRX design TSEK38: Radio Frequency Transceiver Design Lecture 3: Superheterodyne TRX design Ted Johansson, ISY ted.johansson@liu.se 2 Outline of lecture 3 Introduction RF TRX architectures (3) Superheterodyne architecture

More information

Reconfigurable 6 GHz Vector Signal Transceiver with I/Q Interface

Reconfigurable 6 GHz Vector Signal Transceiver with I/Q Interface SPECIFICATIONS PXIe-5645 Reconfigurable 6 GHz Vector Signal Transceiver with I/Q Interface Contents Definitions...2 Conditions... 3 Frequency...4 Frequency Settling Time... 4 Internal Frequency Reference...

More information

Poles and Zeros of H(s), Analog Computers and Active Filters

Poles and Zeros of H(s), Analog Computers and Active Filters Poles and Zeros of H(s), Analog Computers and Active Filters Physics116A, Draft10/28/09 D. Pellett LRC Filter Poles and Zeros Pole structure same for all three functions (two poles) HR has two poles and

More information