SmartSpice RF Harmonic Balance Based RF Simulator. Advanced RF Circuit Simulation

Size: px
Start display at page:

Download "SmartSpice RF Harmonic Balance Based RF Simulator. Advanced RF Circuit Simulation"

Transcription

1 SmartSpice RF Harmonic Balance Based RF Simulator Advanced RF Circuit Simulation

2 SmartSpice RF Overview Uses harmonic balance approach to solve system equations in frequency domain Well suited for RF and Microwave Circuits as they are naturally handled in frequency domain Simulation run-time is relatively insensitive to the numerical value of the excitation frequency Frequency dependent distributed elements such as transmission lines are handled without any difficulty - 2 -

3 SmartSpice RF Inputs/Outputs - 3 -

4 Silvaco RF Design Flow with RF PDK - 4 -

5 SmartSpice RF Analyses SmartSpice RF is an option feature added to SmartSpice, and it is represented by 12 analyses:.harmonic Periodic Steady State Analysis.HAC Periodic Steady State AC Analysis.HTF Periodic Steady State Transfer Function Analysis.HNET Periodic Steady State NET Analysis.HNOISE Periodic Steady State Noise Analysis.HOSCIL Periodic Steady State Analysis for autonomous circuits oscillators - 5 -

6 SmartSpice RF Analyses (con t).spectral Quasi- Periodic Steady State Analysis.SPAC Quasi- Periodic Steady State AC Analysis.SPTF Quasi- Periodic Steady State Transfer Function Analysis.SPNET Quasi- Periodic Steady State NET Analysis.SPNOISE Quasi- Periodic Steady State Noise Analysis.ENV Envelope Following Analysis - 6 -

7 .HARMONIC (Periodic Steady State Analysis) Harmonic Balance Methods Frequency-domain non-linear simulation techniques Optimal convergence for simulation Provides a complete set of interactive control parameters Spectral-Newton, Continuation, and GMRES solvers Parameter Sweep Power or Frequency Sweep Computes P1dB, IP3 Monte-Carlo Analysis Embedded in each SmartSpice RF analysis Unique ability for statistical distribution of gain, power, etc

8 SmartSpice RF Small Signal Analyses.HTF Periodic Steady State Transfer Function Analysis Transfer functions from any input to a single output Any input from any image Applications: Conversion gain, image and sideband rejection, power supply rejection.hac Periodic Steady State AC Analysis Transfer functions from a single input to any output Any output at any sideband Applications: Conversion gain, intermodulation distortion.hnoise Periodic Steady State Noise Analysis Applications: Noise figure of mixers, phase noise of oscillators, noise of SC-filters & sample-and-holds - 8 -

9 SmartSpice RF Small Signal Analyses.HNET Periodic Steady State NET Analysis Computes s-parameters for 2-port circuits that exhibit frequency translation 2-port linear noise option Capability to plot Rectangular Chart, Smith Chart, Noise/Gain/Stability circles. Useful for impedance matching, tuning, and optimization - 9 -

10 .HTF (Periodic Steady State Transfer Function Analysis) Single output, multiple input frequencies Conversion gain Image and sideband rejection Supply rejection LO feed-through Input Output LO Sideband index is relative to output frequency

11 .HAC (Periodic Steady State AC Analysis) Single input, multiple output frequencies Frequency response Conversion efficiency Intermodulation distortion Input Output LO Sideband index is relative to input frequency

12 .HNOISE (Periodic Steady State Noise Analysis) Noise is replicated and translated by LO Mixers and Samplers Oscillators Switched Capacitor Filters Noise Output LO

13 .SPECTRAL (Quasi-Periodic Steady State Analysis).SPECTRAL Analysis can simulate the circuit with multi-tone excitations, all of them treated as large signals Time domain waveform and frequency domain waveform are directly computed. Time domain output is useful to check distortions and clipping Output includes intermodulation distortion caused by frequency translation of harmonics from all input signals

14 Highlights on SmartSpice RF examples Lab 1: RF Mixer Design and Analysis 1 db Compression Point 3rd Order Intercept Point Conversion Gain Response Noise Figure Lab 2: RF LNA Design and Analysis S-Parameter Extraction Gain and Stability Circles Lab 3: RF VCO Design and Analysis Transient Simulation Frequency of Oscillation Extraction Phase Noise Spectrum

15 Lab1: Mixer Simulation- 1 db Compression Point.HARMONIC used to compute P1dB LO 1G RF 920MHz Prf -20dBm to 0dBm Prf Power level of RF Source. HARMONIC 1 large tone in LO+ 1 large tone in RF

16 Lab1: Mixer Simulation- 1 db Compression point 1dB Compression point is - 6 dbm

17 Lab1: Mixer Simulation- 3rd Order Intercept Point.HAC used to compute IP3 LO: 1G RF1: 920MHz, RF2: 921MHz prf: -24dBm to -2dBm prf: Power Level of RF Source HAC: LO plus 1 large tone (RF1) + 1 small tone (RF2) 1st order harmonic: 79MHz 3rd order harmonic: 81MHz

18 Lab1: Mixer Simulation- 3rd Order Intercept Point 3 rd Order Intercept point is 12.3 dbm

19 Lab1: Mixer Simulation- Conversion Gain.HTF used to compute Conversion Gain LO: 1G RF: 920MHz Terminate prf with 50 ohm. SB(-1,1) is mixing PIF

20 Lab1: Mixer Simulation- Conversion Gain The Conversion Gain is around MHz

21 Lab1: Mixer Simulation- Noise Figure.HNOISE used to compute Noise Figure LO: 1G RF: 920MHz Terminate prf with 50 ohm. refsb= -1is the single sideband noise PIF

22 Lab1: Mixer Simulation- Noise Figure The Noise Figure is around MHz

23 Lab2: LNA Simulation- S Parameter.HNET used to compute S Parameter RF: 900MHz Frequency sweep from 100MHz to 1.2GHz

24 Lab2: LNA Simulation- S Parameter S11, S22, and S21 in Cartesian Chart. S11in Smith Chart

25 Lab2: LNA Simulation- Gain and Stability Circles Specify LOAD_STAB_CIRCLES, SOURCE_STAB_CIRCLES, GAIN_CIRCLES, and POWER_GAIN_CIRCLES in.hnet to compute these parameters RF: 900MHz Frequency sweep from 800MHz to 1.0GHz

26 Lab3: VCO Simulation- Transient Analysis.TRAN used to compute transient behavior and determine oscillation frequency

27 Lab3: VCO Simulation- Transient Analysis Time domain signal is transformed into frequency domain by FFT. Oscillation frequency is around 1.86GHz

28 Lab3: VCO Simulation- Phase Noise Analysis.HNOISE used to compute phase noise behavior Frequency sweep for single sideband from 1Hz to 10MHz

29 Lab3: VCO Simulation- Phase Noise Analysis Phase Noise is around -54 1KHz, and 84 1MHz

30 Conclusion SmartSpice RF is applicable to periodically driven circuits Exhibits frequency conversion such as mixers, amplifiers, oscillators, filters, and detectors Easy convergence on nonlinear circuits Simulation run time is insensitive to the excitation frequencies Integrated with Silvaco front-to-back MS/RF design flow

SmartSpice RF Harmonic Balance Based and Shooting Method Based RF Simulation

SmartSpice RF Harmonic Balance Based and Shooting Method Based RF Simulation SmartSpice RF Harmonic Balance Based and Shooting Method Based RF Simulation Silvaco Overview SSRF Attributes Harmonic balance approach to solve system of equations in frequency domain Well suited for

More information

Ansys Designer RF Training Lecture 3: Nexxim Circuit Analysis for RF

Ansys Designer RF Training Lecture 3: Nexxim Circuit Analysis for RF Ansys Designer RF Solutions for RF/Microwave Component and System Design 7. 0 Release Ansys Designer RF Training Lecture 3: Nexxim Circuit Analysis for RF Designer Overview Ansoft Designer Advanced Design

More information

Introduction to Surface Acoustic Wave (SAW) Devices

Introduction to Surface Acoustic Wave (SAW) Devices May 31, 2018 Introduction to Surface Acoustic Wave (SAW) Devices Part 7: Basics of RF Circuits Ken-ya Hashimoto Chiba University k.hashimoto@ieee.org http://www.te.chiba-u.jp/~ken Contents Noise Figure

More information

Appendix. Harmonic Balance Simulator. Page 1

Appendix. Harmonic Balance Simulator. Page 1 Appendix Harmonic Balance Simulator Page 1 Harmonic Balance for Large Signal AC and S-parameter Simulation Harmonic Balance is a frequency domain analysis technique for simulating distortion in nonlinear

More information

Understanding Mixers Terms Defined, and Measuring Performance

Understanding Mixers Terms Defined, and Measuring Performance Understanding Mixers Terms Defined, and Measuring Performance Mixer Terms Defined Statistical Processing Applied to Mixers Today's stringent demands for precise electronic systems place a heavy burden

More information

LNA Design Using SpectreRF. SpectreRF Workshop. LNA Design Using SpectreRF MMSIM6.0USR2. November

LNA Design Using SpectreRF. SpectreRF Workshop. LNA Design Using SpectreRF MMSIM6.0USR2. November SpectreRF Workshop LNA Design Using SpectreRF MMSIM6.0USR2 November 2005 November 2005 1 Contents Lower Noise Amplifier Design Measurements... 3 Purpose... 3 Audience... 3 Overview... 3 Introduction to

More information

1 MHz 6 GHz RF Mixer with built in PLL Synthesizer

1 MHz 6 GHz RF Mixer with built in PLL Synthesizer Windfreak Technologies Preliminary Data Sheet v0.1a MixNV Active Mixer v1.4a $499.00US 1 MHz 6 GHz RF Mixer with built in PLL Synthesizer Features Open source Labveiw GUI software control via USB Run hardware

More information

Data Sheet SC5317 & SC5318A. 6 GHz to 26.5 GHz RF Downconverter SignalCore, Inc. All Rights Reserved

Data Sheet SC5317 & SC5318A. 6 GHz to 26.5 GHz RF Downconverter SignalCore, Inc. All Rights Reserved Data Sheet SC5317 & SC5318A 6 GHz to 26.5 GHz RF Downconverter www.signalcore.com 2018 SignalCore, Inc. All Rights Reserved Definition of Terms 1 Table of Contents 1. Definition of Terms... 2 2. Description...

More information

SC5307A/SC5308A 100 khz to 6 GHz RF Downconverter. Datasheet SignalCore, Inc.

SC5307A/SC5308A 100 khz to 6 GHz RF Downconverter. Datasheet SignalCore, Inc. SC5307A/SC5308A 100 khz to 6 GHz RF Downconverter Datasheet 2017 SignalCore, Inc. support@signalcore.com P RODUCT S PECIFICATIONS Definition of Terms The following terms are used throughout this datasheet

More information

RF/IF Terminology and Specs

RF/IF Terminology and Specs RF/IF Terminology and Specs Contributors: Brad Brannon John Greichen Leo McHugh Eamon Nash Eberhard Brunner 1 Terminology LNA - Low-Noise Amplifier. A specialized amplifier to boost the very small received

More information

TSEK03 LAB 1: LNA simulation using Cadence SpectreRF

TSEK03 LAB 1: LNA simulation using Cadence SpectreRF TSEK03 Integrated Radio Frequency Circuits 2018/Ted Johansson 1/26 TSEK03 LAB 1: LNA simulation using Cadence SpectreRF Ver. 2018-09-18 for Cadence 6 & MMSIM 14 Receiver Front-end LO RF Filter 50W LNA

More information

Introduction. In the frequency domain, complex signals are separated into their frequency components, and the level at each frequency is displayed

Introduction. In the frequency domain, complex signals are separated into their frequency components, and the level at each frequency is displayed SPECTRUM ANALYZER Introduction A spectrum analyzer measures the amplitude of an input signal versus frequency within the full frequency range of the instrument The spectrum analyzer is to the frequency

More information

SSB0260A Single Sideband Mixer GHz

SSB0260A Single Sideband Mixer GHz Single Sideband Mixer.2 6. GHz FEATURES LO/RF Frequency: Input IP3: Sideband Suppression: LO Leakage: LO Power: DC Power:.2 6. GHz +32 dbm -45 dbc (Typical) -5 dbm (Typical) -1 to +1 dbm +5V @ 5 ma DESCRIPTION

More information

Texas A&M University Electrical Engineering Department ECEN 665. Laboratory #4: Analysis and Simulation of a CMOS Mixer

Texas A&M University Electrical Engineering Department ECEN 665. Laboratory #4: Analysis and Simulation of a CMOS Mixer Texas A&M University Electrical Engineering Department ECEN 665 Laboratory #4: Analysis and Simulation of a CMOS Mixer Objectives: To learn the use of periodic steady state (pss) simulation tools in spectre

More information

SC5306B 1 MHz to 3.9 GHz RF Downconverter Core Module. Datasheet SignalCore, Inc.

SC5306B 1 MHz to 3.9 GHz RF Downconverter Core Module. Datasheet SignalCore, Inc. SC5306B 1 MHz to 3.9 GHz RF Downconverter Core Module Datasheet 2015 SignalCore, Inc. support@signalcore.com SC5306B S PECIFICATIONS Definition of Terms The following terms are used throughout this datasheet

More information

PXIe Contents SPECIFICATIONS. 14 GHz and 26.5 GHz Vector Signal Analyzer

PXIe Contents SPECIFICATIONS. 14 GHz and 26.5 GHz Vector Signal Analyzer SPECIFICATIONS PXIe-5668 14 GHz and 26.5 GHz Vector Signal Analyzer These specifications apply to the PXIe-5668 (14 GHz) Vector Signal Analyzer and the PXIe-5668 (26.5 GHz) Vector Signal Analyzer with

More information

Fourier Analysis. Chapter Introduction Distortion Harmonic Distortion

Fourier Analysis. Chapter Introduction Distortion Harmonic Distortion Chapter 5 Fourier Analysis 5.1 Introduction The theory, practice, and application of Fourier analysis are presented in the three major sections of this chapter. The theory includes a discussion of Fourier

More information

LAB-2 (Tutorial) Simulation of LNA (Cadence SpectreRF)

LAB-2 (Tutorial) Simulation of LNA (Cadence SpectreRF) Spring 2006: RF CMOS Transceiver Design (TSEK-26) 1/18 Date: Student Name: Lab Supervisor: Personal Number: - Signature: Notes: LAB-2 (Tutorial) Simulation of LNA (Cadence SpectreRF) Prepared By Rashad.M.Ramzan

More information

Simulation of Radio Frequency Integrated Circuits

Simulation of Radio Frequency Integrated Circuits Simulation o Radio Frequency Integrated Circuits Based on: Computer-Aided Circuit Analysis Tools or RFIC Simulation: Algorithms, Features, and Limitations, IEEE Trans. CAS-II, April 2000. Outline Introduction

More information

HP Archive. This vintage Hewlett Packard document was preserved and distributed by www. hparchive.com Please visit us on the web!

HP Archive. This vintage Hewlett Packard document was preserved and distributed by www. hparchive.com Please visit us on the web! HP Archive This vintage Hewlett Packard document was preserved and distributed by www. hparchive.com Please visit us on the web! On-line curator: Glenn Robb This document is for FREE distribution only!

More information

Measuring 3rd order Intercept Point (IP3 / TOI) of an amplifier

Measuring 3rd order Intercept Point (IP3 / TOI) of an amplifier Measuring 3rd order Intercept Point (IP3 / TOI) of an amplifier Why measuring IP3 / TOI? IP3 is an important parameter for nonlinear systems like mixers or amplifiers which helps to verify the quality

More information

Keysight Technologies Pulsed Antenna Measurements Using PNA Network Analyzers

Keysight Technologies Pulsed Antenna Measurements Using PNA Network Analyzers Keysight Technologies Pulsed Antenna Measurements Using PNA Network Analyzers White Paper Abstract This paper presents advances in the instrumentation techniques that can be used for the measurement and

More information

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT 678A 40MHZ TO 900MHZ DIRECT CONVERSION QUADRATURE DEMODULATOR

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT 678A 40MHZ TO 900MHZ DIRECT CONVERSION QUADRATURE DEMODULATOR DESCRIPTION QUICK START GUIDE FOR DEMONSTRATION CIRCUIT 678A LT5517 Demonstration circuit 678A is a 40MHz to 900MHz Direct Conversion Quadrature Demodulator featuring the LT5517. The LT 5517 is a direct

More information

PARAMETER CONDITIONS TYPICAL PERFORMANCE Operating Supply Voltage 3.1V to 3.5V Supply Current V CC = 3.3V, LO applied 152mA

PARAMETER CONDITIONS TYPICAL PERFORMANCE Operating Supply Voltage 3.1V to 3.5V Supply Current V CC = 3.3V, LO applied 152mA DESCRIPTION LT5578 Demonstration circuit 1545A-x is a high linearity upconverting mixer featuring the LT5578. The LT 5578 is a high performance upconverting mixer IC optimized for output frequencies in

More information

915 MHz Power Amplifier. EE172 Final Project. Michael Bella

915 MHz Power Amplifier. EE172 Final Project. Michael Bella 915 MHz Power Amplifier EE17 Final Project Michael Bella Spring 011 Introduction: Radio Frequency Power amplifiers are used in a wide range of applications, and are an integral part of many daily tasks.

More information

print close Chris Bean, AWR Group, NI

print close Chris Bean, AWR Group, NI 1 of 12 3/28/2016 2:42 PM print close Microwaves and RF Chris Bean, AWR Group, NI Mon, 2016-03-28 10:44 The latest version of an EDA software tool works directly with device load-pull data to develop the

More information

PHASE NOISE MEASUREMENT SYSTEMS

PHASE NOISE MEASUREMENT SYSTEMS PHASE NOISE MEASUREMENT SYSTEMS Item Type text; Proceedings Authors Lance, A. L.; Seal, W. D.; Labaar, F. Publisher International Foundation for Telemetering Journal International Telemetering Conference

More information

Efficiently simulating a direct-conversion I-Q modulator

Efficiently simulating a direct-conversion I-Q modulator Efficiently simulating a direct-conversion I-Q modulator Andy Howard Applications Engineer Agilent Eesof EDA Overview An I-Q or vector modulator is a commonly used integrated circuit in communication systems.

More information

General configuration

General configuration Transmitter General configuration In some cases the modulator operates directly at the transmission frequency (no up conversion required) In digital transmitters, the information is represented by the

More information

Termination Insensitive Mixers By Howard Hausman President/CEO, MITEQ, Inc. 100 Davids Drive Hauppauge, NY

Termination Insensitive Mixers By Howard Hausman President/CEO, MITEQ, Inc. 100 Davids Drive Hauppauge, NY Termination Insensitive Mixers By Howard Hausman President/CEO, MITEQ, Inc. 100 Davids Drive Hauppauge, NY 11788 hhausman@miteq.com Abstract Microwave mixers are non-linear devices that are used to translate

More information

Measuring Non-linear Amplifiers

Measuring Non-linear Amplifiers Measuring Non-linear Amplifiers Transceiver Components & Measuring Techniques MM3 Jan Hvolgaard Mikkelsen Radio Frequency Integrated Systems and Circuits Division Aalborg University 27 Agenda Non-linear

More information

Texas A&M University Electrical Engineering Department ECEN 665. Laboratory #3: Analysis and Simulation of a CMOS LNA

Texas A&M University Electrical Engineering Department ECEN 665. Laboratory #3: Analysis and Simulation of a CMOS LNA Texas A&M University Electrical Engineering Department ECEN 665 Laboratory #3: Analysis and Simulation of a CMOS LNA Objectives: To learn the use of s-parameter and periodic steady state (pss) simulation

More information

Direct-Conversion I-Q Modulator Simulation by Andy Howard, Applications Engineer Agilent EEsof EDA

Direct-Conversion I-Q Modulator Simulation by Andy Howard, Applications Engineer Agilent EEsof EDA Direct-Conversion I-Q Modulator Simulation by Andy Howard, Applications Engineer Agilent EEsof EDA Introduction This article covers an Agilent EEsof ADS example that shows the simulation of a directconversion,

More information

SC5407A/SC5408A 100 khz to 6 GHz RF Upconverter. Datasheet. Rev SignalCore, Inc.

SC5407A/SC5408A 100 khz to 6 GHz RF Upconverter. Datasheet. Rev SignalCore, Inc. SC5407A/SC5408A 100 khz to 6 GHz RF Upconverter Datasheet Rev 1.2 2017 SignalCore, Inc. support@signalcore.com P R O D U C T S P E C I F I C A T I O N S Definition of Terms The following terms are used

More information

Lecture 8. Jaeha Kim. Seoul National University

Lecture 8. Jaeha Kim. Seoul National University Lecture 8. Introduction to RF Simulation Jaeha Kim Mixed-Signal IC and System Group (MICS) Seoul National University jaeha@ieee.org 1 Overview Readings: K. Kundert, Introduction to RF Simulation and Its

More information

Keysight Technologies Making Accurate Intermodulation Distortion Measurements with the PNA-X Network Analyzer, 10 MHz to 26.5 GHz

Keysight Technologies Making Accurate Intermodulation Distortion Measurements with the PNA-X Network Analyzer, 10 MHz to 26.5 GHz Keysight Technologies Making Accurate Intermodulation Distortion Measurements with the PNA-X Network Analyzer, 10 MHz to 26.5 GHz Application Note Overview This application note describes accuracy considerations

More information

Specification for Radiated susceptibility Test

Specification for Radiated susceptibility Test 1 of 11 General Information on Radiated susceptibility test Supported frequency Range : 20MHz to 6GHz Supported Field strength : 30V/m at 3 meter distance 100V/m at 1 meter distance 2 of 11 Signal generator

More information

Hot S 22 and Hot K-factor Measurements

Hot S 22 and Hot K-factor Measurements Application Note Hot S 22 and Hot K-factor Measurements Scorpion db S Parameter Smith Chart.5 2 1 Normal S 22.2 Normal S 22 5 0 Hot S 22 Hot S 22 -.2-5 875 MHz 975 MHz -.5-2 To Receiver -.1 DUT Main Drive

More information

ADI 2006 RF Seminar. Chapter II RF/IF Components and Specifications for Receivers

ADI 2006 RF Seminar. Chapter II RF/IF Components and Specifications for Receivers ADI 2006 RF Seminar Chapter II RF/IF Components and Specifications for Receivers 1 RF/IF Components and Specifications for Receivers Fixed Gain and Variable Gain Amplifiers IQ Demodulators Analog-to-Digital

More information

RF, Microwave & Wireless. All rights reserved

RF, Microwave & Wireless. All rights reserved RF, Microwave & Wireless All rights reserved 1 Non-Linearity Phenomenon All rights reserved 2 Physical causes of nonlinearity Operation under finite power-supply voltages Essential non-linear characteristics

More information

Advances in RF and Microwave Measurement Technology

Advances in RF and Microwave Measurement Technology 1 Advances in RF and Microwave Measurement Technology Chi Xu Certified LabVIEW Architect Certified TestStand Architect New Demands in Modern RF and Microwave Test In semiconductor and wireless, technologies

More information

PA Design Using SpectreRF. SpectreRF Workshop. Power Amplifier Design Using SpectreRF MMSIM6.0USR2. November

PA Design Using SpectreRF. SpectreRF Workshop. Power Amplifier Design Using SpectreRF MMSIM6.0USR2. November SpectreRF Workshop Power Amplifier Design Using SpectreRF MMSIM6.0USR2 November 2005 November 2005 1 Contents Power Amplifier Design Measurements... 3 Purpose... 3 Audience... 3 Overview... 3 Introduction

More information

PTX-0350 RF UPCONVERTER, MHz

PTX-0350 RF UPCONVERTER, MHz PTX-0350 RF UPCONVERTER, 300 5000 MHz OPERATING MODES I/Q upconverter RF = LO + IF upconverter RF = LO - IF upconverter Synthesizer 10 MHz REFERENCE INPUT/OUTPUT EXTERNAL LOCAL OSCILLATOR INPUT I/Q BASEBAND

More information

RF and Microwave Test and Design Roadshow 5 Locations across Australia and New Zealand

RF and Microwave Test and Design Roadshow 5 Locations across Australia and New Zealand RF and Microwave Test and Design Roadshow 5 Locations across Australia and New Zealand ni.com Design and test of RADAR systems Agenda Radar Overview Tools Overview VSS LabVIEW PXI Design and Simulation

More information

S3602C Vector Network Analyzer Datasheet

S3602C Vector Network Analyzer Datasheet S3602C Vector Network Analyzer Datasheet Saluki Technology Inc. The document applies to the vector network analyzers of the following models: S3602C vector network analyzer (10MHz - 43.5GHz). Options of

More information

Definitions. Spectrum Analyzer

Definitions. Spectrum Analyzer SIGNAL ANALYZERS Spectrum Analyzer Definitions A spectrum analyzer measures the magnitude of an input signal versus frequency within the full frequency range of the instrument. The primary use is to measure

More information

CUSTOM INTEGRATED ASSEMBLIES

CUSTOM INTEGRATED ASSEMBLIES 17 CUSTOM INTEGRATED ASSEMBLIES CUSTOM INTEGRATED ASSEMBLIES Cougar offers full first-level integration capabilities, providing not just performance components but also full subsystem solutions to help

More information

Advanced Design System - Fundamentals. Mao Wenjie

Advanced Design System - Fundamentals. Mao Wenjie Advanced Design System - Fundamentals Mao Wenjie wjmao@263.net Main Topics in This Class Topic 1: ADS and Circuit Simulation Introduction Topic 2: DC and AC Simulations Topic 3: S-parameter Simulation

More information

PN9000 PULSED CARRIER MEASUREMENTS

PN9000 PULSED CARRIER MEASUREMENTS The specialist of Phase noise Measurements PN9000 PULSED CARRIER MEASUREMENTS Carrier frequency: 2.7 GHz - PRF: 5 khz Duty cycle: 1% Page 1 / 12 Introduction When measuring a pulse modulated signal the

More information

Lab Exercise PN: Phase Noise Measurement - 1 -

Lab Exercise PN: Phase Noise Measurement - 1 - Lab Exercise PN: Phase Noise Measurements Phase noise is a critical specification for oscillators used in applications such as Doppler radar and synchronous communications systems. It is tricky to measure

More information

C. Mixers. frequencies? limit? specifications? Perhaps the most important component of any receiver is the mixer a non-linear microwave device.

C. Mixers. frequencies? limit? specifications? Perhaps the most important component of any receiver is the mixer a non-linear microwave device. 9/13/2007 Mixers notes 1/1 C. Mixers Perhaps the most important component of any receiver is the mixer a non-linear microwave device. HO: Mixers Q: How efficient is a typical mixer at creating signals

More information

Using Enhanced Load-Pull Measurements for the Design of Base Station Power Amplifiers

Using Enhanced Load-Pull Measurements for the Design of Base Station Power Amplifiers Application Note Using Enhanced Load-Pull Measurements for the Design of Base Station Power Amplifiers Overview Load-pull simulation is a very simple yet powerful concept in which the load or source impedance

More information

Gain Compression Simulation

Gain Compression Simulation Gain Compression Simulation August 2005 Notice The information contained in this document is subject to change without notice. Agilent Technologies makes no warranty of any kind with regard to this material,

More information

The Value of Pre-Selection in EMC Testing. Scott Niemiec Application Engineer

The Value of Pre-Selection in EMC Testing. Scott Niemiec Application Engineer The Value of Pre-Selection in EMC Testing Scott Niemiec Application Engineer Video Demonstrating Benefit of Pre-selection 400MHz -1GHz Sweep with RBW = 120kHz Yellow: w/ preselection Green: w/o pre-selection

More information

Microwave Metrology -ECE 684 Spring Lab Exercise I&Q.v3: I&Q Time and Frequency Domain Measurements

Microwave Metrology -ECE 684 Spring Lab Exercise I&Q.v3: I&Q Time and Frequency Domain Measurements Lab Exercise I&Q.v3: I&Q Time and Frequency Domain Measurements In this lab exercise you will perform measurements both in time and in frequency to establish the relationship between these two dimension

More information

Advances in RF and Microwave Measurement Technology

Advances in RF and Microwave Measurement Technology 1 Advances in RF and Microwave Measurement Technology Rejwan Ali Marketing Engineer NI Africa and Oceania New Demands in Modern RF and Microwave Test In semiconductor and wireless, technologies such as

More information

EVALUATION KIT AVAILABLE 10MHz to 1050MHz Integrated RF Oscillator with Buffered Outputs. Typical Operating Circuit. 10nH 1000pF MAX2620 BIAS SUPPLY

EVALUATION KIT AVAILABLE 10MHz to 1050MHz Integrated RF Oscillator with Buffered Outputs. Typical Operating Circuit. 10nH 1000pF MAX2620 BIAS SUPPLY 19-1248; Rev 1; 5/98 EVALUATION KIT AVAILABLE 10MHz to 1050MHz Integrated General Description The combines a low-noise oscillator with two output buffers in a low-cost, plastic surface-mount, ultra-small

More information

Designing a 960 MHz CMOS LNA and Mixer using ADS. EE 5390 RFIC Design Michelle Montoya Alfredo Perez. April 15, 2004

Designing a 960 MHz CMOS LNA and Mixer using ADS. EE 5390 RFIC Design Michelle Montoya Alfredo Perez. April 15, 2004 Designing a 960 MHz CMOS LNA and Mixer using ADS EE 5390 RFIC Design Michelle Montoya Alfredo Perez April 15, 2004 The University of Texas at El Paso Dr Tim S. Yao ABSTRACT Two circuits satisfying the

More information

Reconfigurable 6 GHz Vector Signal Transceiver with I/Q Interface

Reconfigurable 6 GHz Vector Signal Transceiver with I/Q Interface SPECIFICATIONS PXIe-5645 Reconfigurable 6 GHz Vector Signal Transceiver with I/Q Interface Contents Definitions...2 Conditions... 3 Frequency...4 Frequency Settling Time... 4 Internal Frequency Reference...

More information

New System Simulator Includes Spectral Domain Analysis

New System Simulator Includes Spectral Domain Analysis New System Simulator Includes Spectral Domain Analysis By Dale D. Henkes, ACS Figure 1: The ACS Visual System Architect s System Schematic With advances in RF and wireless technology, it is often the case

More information

The Schottky Diode Mixer. Application Note 995

The Schottky Diode Mixer. Application Note 995 The Schottky Diode Mixer Application Note 995 Introduction A major application of the Schottky diode is the production of the difference frequency when two frequencies are combined or mixed in the diode.

More information

Black Box Modelling Of Hard Nonlinear Behavior In The Frequency Domain

Black Box Modelling Of Hard Nonlinear Behavior In The Frequency Domain Black Box Modelling Of Hard Nonlinear Behavior In The Frequency Domain 1 Jan Verspecht*, D. Schreurs*, A. Barel*, B. Nauwelaers* * Hewlett-Packard NMDG VUB-ELEC Pleinlaan 2 1050 Brussels Belgium fax 32-2-629.2850

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY

MASSACHUSETTS INSTITUTE OF TECHNOLOGY MARK 5 MEMO #070 MASSACHUSETTS INSTITUTE OF TECHNOLOGY HAYSTACK OBSERVATORY WESTFORD, MASSACHUSETTS 01886 To: Mark 5 Development Group From: A.E.E. Rogers Subject: Updown converter notes Updated 30 August

More information

Measurements 2: Network Analysis

Measurements 2: Network Analysis Measurements 2: Network Analysis Fritz Caspers CAS, Aarhus, June 2010 Contents Scalar network analysis Vector network analysis Early concepts Modern instrumentation Calibration methods Time domain (synthetic

More information

Harmonic Balance Simulation

Harmonic Balance Simulation Harmonic Balance Simulation September 2004 Notice The information contained in this document is subject to change without notice. Agilent Technologies makes no warranty of any kind with regard to this

More information

Understanding RF and Microwave Analysis Basics

Understanding RF and Microwave Analysis Basics Understanding RF and Microwave Analysis Basics Kimberly Cassacia Product Line Brand Manager Keysight Technologies Agenda µw Analysis Basics Page 2 RF Signal Analyzer Overview & Basic Settings Overview

More information

Analysis and Design of Autonomous Microwave Circuits

Analysis and Design of Autonomous Microwave Circuits Analysis and Design of Autonomous Microwave Circuits ALMUDENA SUAREZ IEEE PRESS WILEY A JOHN WILEY & SONS, INC., PUBLICATION Contents Preface xiii 1 Oscillator Dynamics 1 1.1 Introduction 1 1.2 Operational

More information

Introduction to CMOS RF Integrated Circuits Design

Introduction to CMOS RF Integrated Circuits Design VII. ower Amplifiers VII-1 Outline Functionality Figures of Merit A Design Classical Design (Class A, B, C) High-Efficiency Design (Class E, F) Matching Network Linearity T/R Switches VII-2 As and TRs

More information

OBJECTIVES EQUIPMENT LIST

OBJECTIVES EQUIPMENT LIST 1 Reception of Amplitude Modulated Signals AM Demodulation OBJECTIVES The purpose of this experiment is to show how the amplitude-modulated signals are demodulated to obtain the original signal. Also,

More information

6.101 Project Proposal April 9, 2014 Kayla Esquivel and Jason Yang. General Outline

6.101 Project Proposal April 9, 2014 Kayla Esquivel and Jason Yang. General Outline 6.101 Project Proposal April 9, 2014 Kayla Esquivel and Jason Yang General Outline We will build a superheterodyne AM Radio Receiver circuit that will have a bandwidth of the entire AM spectrum, and whose

More information

Windfreak Technologies SynthHD v1.4 Preliminary Data Sheet v0.2b

Windfreak Technologies SynthHD v1.4 Preliminary Data Sheet v0.2b Windfreak Technologies SynthHD v1.4 Preliminary Data Sheet v0.2b $1299.00US 54 MHz 13.6 GHz Dual Channel RF Signal Generator Features Open source Labveiw GUI software control via USB Run hardware functions

More information

DESCRIPTION OF THE OPERATION AND CALIBRATION OF THE MILLIMETER I/Q PHASE BRIDGE-INTERFEROMETER

DESCRIPTION OF THE OPERATION AND CALIBRATION OF THE MILLIMETER I/Q PHASE BRIDGE-INTERFEROMETER DESCRIPTION OF THE OPERATION AND CALIBRATION OF THE MILLIMETER I/Q PHASE BRIDGE-INTERFEROMETER Overview of Interferometer Operation The block diagram of the I/Q Phase Bridge-Interferometer is shown below

More information

Receiver Architecture

Receiver Architecture Receiver Architecture Receiver basics Channel selection why not at RF? BPF first or LNA first? Direct digitization of RF signal Receiver architectures Sub-sampling receiver noise problem Heterodyne receiver

More information

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED www.analog.com www.hittite.com THIS PAGE INTENTIONALLY LEFT BLANK 17 Product Application Notes Introduction

More information

RF Integrated Circuits

RF Integrated Circuits Introduction and Motivation RF Integrated Circuits The recent explosion in the radio frequency (RF) and wireless market has caught the semiconductor industry by surprise. The increasing demand for affordable

More information

note application Measurement of Frequency Stability and Phase Noise by David Owen

note application Measurement of Frequency Stability and Phase Noise by David Owen application Measurement of Frequency Stability and Phase Noise note by David Owen The stability of an RF source is often a critical parameter for many applications. Performance varies considerably with

More information

Radio Receiver Architectures and Analysis

Radio Receiver Architectures and Analysis Radio Receiver Architectures and Analysis Robert Wilson December 6, 01 Abstract This article discusses some common receiver architectures and analyzes some of the impairments that apply to each. 1 Contents

More information

Receiver Design. Prof. Tzong-Lin Wu EMC Laboratory Department of Electrical Engineering National Taiwan University 2011/2/21

Receiver Design. Prof. Tzong-Lin Wu EMC Laboratory Department of Electrical Engineering National Taiwan University 2011/2/21 Receiver Design Prof. Tzong-Lin Wu EMC Laboratory Department of Electrical Engineering National Taiwan University 2011/2/21 MW & RF Design / Prof. T. -L. Wu 1 The receiver mush be very sensitive to -110dBm

More information

L AND S BAND TUNABLE FILTERS PROVIDE DRAMATIC IMPROVEMENTS IN TELEMETRY SYSTEMS

L AND S BAND TUNABLE FILTERS PROVIDE DRAMATIC IMPROVEMENTS IN TELEMETRY SYSTEMS L AND S BAND TUNABLE FILTERS PROVIDE DRAMATIC IMPROVEMENTS IN TELEMETRY SYSTEMS Item Type text; Proceedings Authors Wurth, Timothy J.; Rodzinak, Jason Publisher International Foundation for Telemetering

More information

Chapter 5 Specifications

Chapter 5 Specifications RIGOL Specifications are valid under the following conditions: the instrument is within the calibration period, is stored for at least two hours at 0 to 50 temperature and is warmed up for 40 minutes.

More information

TSEK02: Radio Electronics Lecture 8: RX Nonlinearity Issues, Demodulation. Ted Johansson, EKS, ISY

TSEK02: Radio Electronics Lecture 8: RX Nonlinearity Issues, Demodulation. Ted Johansson, EKS, ISY TSEK02: Radio Electronics Lecture 8: RX Nonlinearity Issues, Demodulation Ted Johansson, EKS, ISY 2 RX Nonlinearity Issues, Demodulation RX nonlinearities (parts of 2.2) System Nonlinearity Sensitivity

More information

SELECTING RF AMPLIFIERS FOR IMPEDANCE CONTROLLED LLRF SYSTEMS - NONLINEAR EFFECTS AND SYSTEM IMPLICATIONS. Abstract

SELECTING RF AMPLIFIERS FOR IMPEDANCE CONTROLLED LLRF SYSTEMS - NONLINEAR EFFECTS AND SYSTEM IMPLICATIONS. Abstract SLAC PUB 12636 July 27 SELECTING RF AMPLIFIERS FOR IMPEDANCE CONTROLLED LLRF SYSTEMS - NONLINEAR EFFECTS AND SYSTEM IMPLICATIONS John D. Fox, Themis Mastorides, Claudio Hector Rivetta and Daniel Van Winkle

More information

Linear networks analysis

Linear networks analysis Linear networks analysis For microwave linear networks analysis is performed in frequency domain. The analysis is based on the evaluation of the scattering matrix of the n port network From S matrix all

More information

Low voltage LNA, mixer and VCO 1GHz

Low voltage LNA, mixer and VCO 1GHz DESCRIPTION The is a combined RF amplifier, VCO with tracking bandpass filter and mixer designed for high-performance low-power communication systems from 800-1200MHz. The low-noise preamplifier has a

More information

High Dynamic Range Receiver Parameters

High Dynamic Range Receiver Parameters High Dynamic Range Receiver Parameters The concept of a high-dynamic-range receiver implies more than an ability to detect, with low distortion, desired signals differing, in amplitude by as much as 90

More information

1GHz low voltage LNA, mixer and VCO

1GHz low voltage LNA, mixer and VCO DESCRIPTION The is a combined RF amplifier, VCO with tracking bandpass filter and mixer designed for high-performance low-power communication systems from 800-1200MHz. The low-noise preamplifier has a

More information

VCO Design Using SpectreRF. SpectreRF Workshop. VCO Design Using SpectreRF MMSIM6.0USR2. November

VCO Design Using SpectreRF. SpectreRF Workshop. VCO Design Using SpectreRF MMSIM6.0USR2. November SpectreRF Workshop VCO Design Using SpectreRF MMSIM6.0USR2 November 2005 November 2005 1 Contents Voltage Controlled Oscillator Design Measurements... 3 Purpose... 3 Audience... 3 Overview... 3 Introduction

More information

TSEK02: Radio Electronics Lecture 8: RX Nonlinearity Issues, Demodulation. Ted Johansson, EKS, ISY

TSEK02: Radio Electronics Lecture 8: RX Nonlinearity Issues, Demodulation. Ted Johansson, EKS, ISY TSEK02: Radio Electronics Lecture 8: RX Nonlinearity Issues, Demodulation Ted Johansson, EKS, ISY RX Nonlinearity Issues: 2.2, 2.4 Demodulation: not in the book 2 RX nonlinearities System Nonlinearity

More information

Utilizzo del Time Domain per misure EMI

Utilizzo del Time Domain per misure EMI Utilizzo del Time Domain per misure EMI Roberto Sacchi Measurement Expert Manager - Europe 7 Giugno 2017 Compliance EMI receiver requirements (CISPR 16-1-1 ) range 9 khz - 18 GHz: A normal +/- 2 db absolute

More information

Maxim > Design Support > Technical Documents > Application Notes > Wireless and RF > APP 3571

Maxim > Design Support > Technical Documents > Application Notes > Wireless and RF > APP 3571 Maxim > Design Support > Technical Documents > Application Notes > Wireless and RF > APP 3571 Keywords: automotive keyless entry, MAX2640, LNA, 315MHz, RKE, stability, automotive, keyless entry APPLICATION

More information

Exercise 1: RF Stage, Mixer, and IF Filter

Exercise 1: RF Stage, Mixer, and IF Filter SSB Reception Analog Communications Exercise 1: RF Stage, Mixer, and IF Filter EXERCISE OBJECTIVE DISCUSSION On the circuit board, you will set up the SSB transmitter to transmit a 1000 khz SSB signal

More information

Lecture 15: Introduction to Mixers

Lecture 15: Introduction to Mixers EECS 142 Lecture 15: Introduction to Mixers Prof. Ali M. Niknejad University of California, Berkeley Copyright c 2005 by Ali M. Niknejad A. M. Niknejad University of California, Berkeley EECS 142 Lecture

More information

A Simplified Extension of X-parameters to Describe Memory Effects for Wideband Modulated Signals

A Simplified Extension of X-parameters to Describe Memory Effects for Wideband Modulated Signals Jan Verspecht bvba Mechelstraat 17 B-1745 Opwijk Belgium email: contact@janverspecht.com web: http://www.janverspecht.com A Simplified Extension of X-parameters to Describe Memory Effects for Wideband

More information

MS2760A a new approach for mm-wave and 5G spectrum measurements

MS2760A a new approach for mm-wave and 5G spectrum measurements MS2760A a new approach for mm-wave and 5G spectrum measurements RF Technology Days 2018 Ferdinand Gerhardes EMEA BDM April 2018 Agenda Anritsu SPA product portfolio MS2760A feature overview What is NLTL?

More information

Signal Forge 1800M Frequency Expansion Module. 1.0 GHz to 1.8 GHz. User Manual

Signal Forge 1800M Frequency Expansion Module. 1.0 GHz to 1.8 GHz. User Manual TM TM Signal Forge 1800M Frequency Expansion Module 1.0 GHz to 1.8 GHz User Manual Technical Support Email: Support@signalforge.com Phone: 512.275.3733 x2 Contact Information Web: www.signalforge.com

More information

Analyzing Device Behavior at the Current Generator Plane of an Envelope Tracking Power Amplifier in a High Efficiency Mode

Analyzing Device Behavior at the Current Generator Plane of an Envelope Tracking Power Amplifier in a High Efficiency Mode Analyzing Device Behavior at the Current Generator Plane of an Envelope Tracking Power Amplifier in a High Efficiency Mode Z. Mokhti, P.J. Tasker and J. Lees Centre for High Frequency Engineering, Cardiff

More information

Agilent Technologies Gli analizzatori di reti della serie-x

Agilent Technologies Gli analizzatori di reti della serie-x Agilent Technologies Gli analizzatori di reti della serie-x Luigi Fratini 1 Introducing the PNA-X Performance Network Analyzer For Active Device Test 500 GHz & beyond! 325 GHz 110 GHz 67 GHz 50 GHz 43.5

More information

Frequency Measurements and Mixer

Frequency Measurements and Mixer Frequency Measurements and Mixer Andrea Ferrero, Valeria Teppati December 18, 2012 1 Introduction In this laboratory the student will use and measure a frequency translating device (mixer). A mixer is

More information

RF Board Design. EEC 134 Application Note. Jo Han Yu

RF Board Design. EEC 134 Application Note. Jo Han Yu EEC 134 Application Note Jo Han Yu EEC 134 Application Note RF Board Design Introduction The objective of this application note is to outline the process of designing system and PCB layout for RF board

More information

BROADBAND DISTRIBUTED AMPLIFIER

BROADBAND DISTRIBUTED AMPLIFIER ADM1-26PA The ADM1-26PA is a complete LO driver solution for use with all Marki mixers up to 26. GHz. This single-stage packaged GaAs MMIC distributed amplifier integrates all required biasing circuitry.

More information