Improving Loop-Gain Performance In Digital Power Supplies With Latest- Generation DSCs

Size: px
Start display at page:

Download "Improving Loop-Gain Performance In Digital Power Supplies With Latest- Generation DSCs"

Transcription

1 ISSUE: March 2016 Improving Loop-Gain Performance In Digital Power Supplies With Latest- Generation DSCs by Alex Dumais, Microchip Technology, Chandler, Ariz. With the consistent push for higher-performance and higher-power-density power supplies, switching frequencies are rising, which is causing digital controllers to adapt to market trends. Microchip s dspic33ep GS series of digital signal controllers, which is aimed at power supply applications, is a primary example. These devices have introduced new features that reduce the execution time of the linear difference equation (LDE) and reduce overall system delays. Ultimately, these features help achieve higher sampling rates of the control loop(s) and mitigate phase erosion, thereby leading to improved loop-gain performance. In a digital power supply unit (PSU) there are several factors that impact loop-gain performance that are specific to the microcontroller. These factors include maximum sampling rate, time required to execute compensator algorithm(s), sampling/conversion time of the analog-to-digital converter (ADC), and microcontroller operating speed. For converters employing peak-current-mode control, the speed of the comparator and the accuracy/speed of the control digital-to-analog converter (DAC) will also have an impact on the PSU loop-gain performance. All of these factors need to be considered when selecting a microcontroller for a given application. This article examines how the dspic33ep GS devices can help improve loop-gain performance for the next generation of power supplies. Higher Operating Frequency The most noticeable feature of the new dspic33ep devices is the increase in operating frequency. The operating frequency of these devices has increased to 70 MHz, which is a maximum increase of 20 million instructions per second (MIPS) over the existing dspic33fj devices. If we take a control loop that executes at a rate of 250 khz and is 60 instructions, this consumes a total of 15 MIPS or 30% of the available resources on the dspic33fj devices. This same control loop code consumes only 20% of the available CPU resources on the dspic33ep processor when executed at the same sampling frequency. If the same percentage of MIPS is consumed after migrating to the dspic33ep devices then this allows the control loop to be executed at a rate of 350 khz. Further analysis shows that phase erosion is reduced by 29% at a given crossover frequency. Equation 1 shows the calculation of phase erosion due to sampling vs. crossover frequency. Compensator Requirements And Overhead In most PSUs that employ a digital compensator, the control of the power stage is typically governed by a simple LDE. While the LDE approach is well known and commonly used, digital implementations are conducive to implementing nonlinear control algorithms. Nonlinear techniques, however, are beyond the scope of this article. The size of the LDE depends on the order of the compensator and the method used to transform the continuous frequency function to a discrete frequency function (forward/backward Euler, bi-linear transform, etc.) Simply put, the LDE is a mathematical expression that uses linear combinations of the control errors and previous control outputs to produce the current control output. See equation 2 for an example of a 3P3Z linear difference equation. (1) 2016 How2Power. All rights reserved. Page 1 of 8

2 It can be seen that for the 3P3Z compensator there are seven multiplications and seven additions that need to occur, in order to determine the desired control output. This type of arithmetic is well suited for the architecture of the dspic33 devices. These instructions can be processed in seven single-cycle instructions using the multiply and accumulate (MAC) instruction. However there is going to be additional software overhead that includes push/pop working registers, loading data in/out of working registers, resetting arrays, and clamping/scaling the control output. This overhead can potentially impact the control loop execution rate, which leads to reduced phase margins. Alternate Working Registers As shown earlier, with the increased MIPS the execution time for the control loop has dropped quite a bit. However, this can be improved even further on the dspic33ep GS devices with the addition of alternate working registers. These devices incorporate two additional banks of 15 working registers that can be made persistent. This means data such as clamp limits, scaling factors, pointers to coefficients, etc. can be preloaded into appropriate alternate working registers at the device initialization stage. These register banks can then be associated to a given interrupt priority level, which only the control loop software would have access to. This will then eliminate the need to push/pop working registers onto the stack and will reduce the overhead of getting data into work registers when executing the compensator algorithm. Fig. 1 shows an example of how to successfully utilize an alternate working register set using Microchip s hardware accelerated compensator functions. It is important to remember that certain registers are required for particular instructions, which impose limitations on where data can be placed. (2) Fig. 1. Example of an alternate working register How2Power. All rights reserved. Page 2 of 8

3 Let s consider the impact of the alternate working registers on MIPS consumption for high-frequency control loops. A compensator algorithm that may have been called every other switching cycle on the dspic33fj devices may now be called every switching cycle and would still utilize the same percentage of MIPS. The phase erosion due to the sampling process was given in equation 1. The amount of phase degradation depends on the crossover frequency and sampling frequency. Therefore if the sampling rate is doubled, the phase degradation is reduced by half. This means that the phase erosion went from a 29% reduction due to device operating speeds to a 50% reduction when including the use of the alternate working registers. To illustrate the reduction in phase erosion due to increased sampling frequency, consider a system with a 175- khz sampling frequency and 10-kHz bandwidth, the phase erosion is calculated as roughly 10. The same system with 350-kHz sampling frequency would have only 5 of phase erosion. This additional phase margin can be achieved with the dspic33ep device while utilizing the same percentage of MIPS as the dspic33fj devices. It has been shown that higher sampling frequencies can be obtained with the use of alternate working registers and the faster operating speeds of the dspic33ep devices, leading to reduced phase erosion. Next, let s discuss other device specific peripherals and techniques that can improve phase margin leading to improved loop-gain performance. An Improved ADC The dspic33ep GS devices include a new 12-bit ADC that incorporates multiple successive approximation register (SAR) cores. The devices are capable of sampling multiple analog inputs simultaneously and having dedicated 12-bit results available in less than 300 ns. The dedicated SAR cores are continuously tracking the input signal, which means there is zero sampling time required. When the ADC sees a trigger event it will automatically start the conversion process. Remembering that the sampling/conversion time is seen as a delay in the control loop, these new ADC features will minimize the overall sampling/conversion latency, which will help with phase-margin erosion. One unique feature of the ADC is its capability to generate the interrupt before conversion completes. This ADC early interrupt feature, when enabled, helps to reduce the time from when the ADC completes conversion to the start-of-control-loop software (interrupt). The maximum selectable early interrupt timing is eight ADC clocks (Tads). At the fastest throughput this reduces the time taken to get into the compensator algorithm by 114 ns. This is yet another way to reduce the total latency in the control-loop software. Fig. 2 illustrates the impact of the ADC s early interrupt on control-loop latency How2Power. All rights reserved. Page 3 of 8

4 Fig. 2. ADC early interrupt timing. Another ADC module feature is the inclusion of multiple digital comparators that can be configured to give an interrupt when the converted analog result is outside (or within) a given set of limits. This may not seem like a feature that directly impacts the sampling frequency, but it most definitely can. Fewer MIPS are consumed when software is offloaded from the CPU and only executed in a fault condition. This means that we may be able to increase the sampling rate of the control loop. This is highly software dependent and not all applications may be able to take advantage of this new feature. However, it can reduce the CPU work load when it comes to examples like input voltage and temperature monitoring. To reduce the time from ADC trigger-to-control output write back even further, the PWM interrupt service routine (ISR) could be used instead of the ADC ISR and the ADC early interrupt feature. In a general use case, the PWM triggers the ADC to start conversion but now it will also generate its own interrupt event at the same time. With the compensator algorithm placed inside the PWM ISR, the software will start executing the compensator algorithm before the control feedback data is available from the ADC. There is roughly 143 ns between software execution and completion of the ADC conversion. This means there needs to be a minimum of 10 instructions placed before reading the ADC result buffer when the microcontroller is operating at maximum device frequency. If the compensator algorithm is structured in such a way that this technique can be used, it will reduce the time taken to get into the compensator routine by 45%. See Fig. 2 for an early interrupt timing example with PWM interrupt service routine. It is important to note that this method should only be applied with the predictable timing of the dedicated SAR cores. The Compensator Algorithm The structure of the compensator algorithm plays an important role in the time it takes to update the control output variable. With the alternate working registers, the algorithm can be written in such a way as to minimize the computations required prior to updating the control output. In this case, the only required computations will be multiplying the current error with coefficient B0 and then adding that result to the accumulated output from the previous cycle How2Power. All rights reserved. Page 4 of 8

5 Of course the backward normalization and clamping is still there but this will significantly reduce the controloutput write back time without requiring a dedicated accumulator. Fig. 3 shows an example of how the compensator algorithm can be arranged to achieve the quickest update time. The control-output write back time can now occur in less than 300 ns upon entering the compensator algorithm. It will become clear how this is useful in the following sections. Minimizing Phase Erosion Fig. 3. Block diagram of the 3P3Z hardware accelerated compensator. Now let us consider the impact of all these different features on phase erosion. In systems with voltage-mode control and average-current-mode control, it is possible to sample the output capacitor voltage or inductor current at 50% of the off-time. The most common approach is to sample at 50% on-time to allow enough time to process the control algorithm before the start of the next PWM cycle. With all the features mentioned above it is easily possible to sample the control feedback signals during the off-time and write back to control output before the start of the next PWM cycle. Fig. 4 shows the estimated duty cycle limits for an example implementation across switching frequencies while Fig. 5 illustrates a timing diagram of 50% off-time. The increase in phase margin is dependent on duty cycle but if averaged at 50% duty cycle the phase erosion drops by half compared to the 50% on-time measurement How2Power. All rights reserved. Page 5 of 8

6 Fig. 4. On-time duty cycle versus switching-frequency limitation with 50%min/max on/off-time triggering. The dspic33ep GS devices feature an immediate update mode that when enabled will update the PWM parameters within the current cycle when the special function register (SFR) is written to. This applies to phase, period, deadtime and duty cycle all with a resolution of 1 ns. In the 50% off-time scenario, it was mentioned that the control-output write back had to occur before the start of the next PWM cycle and this limits what the maximum on-time is for a given switching frequency. With immediate updates enabled, this is no longer the case. This feature now allows duty cycle limits and/or switching frequency limits to increase even further, which will help in reducing phase-margin erosion once again. Fig. 5 illustrates the timing for both 50% off-time implementations How2Power. All rights reserved. Page 6 of 8

7 Fig. 5. Trigger timing diagram for 50% on-/off-time. The best case for reducing phase margin erosion is to sample the control feedback signal at 50% on-time while ensuring that the new control output is applied to the trailing edge of the current PWM cycle as shown in Fig. 5. This implies that the active edge of the PWM duty cycle is being updated based on the output of the compensator that was just called in the same PWM cycle. This would give the best phase margin possible in a digital system. One should note that this is the optimum use case but cannot be implemented in all applications due to limitations with minimum on-time. See Fig. 4 for minimum on-time as a percentage of the switching period across different switching frequencies. For example, if we look at a boost PFC switching at 100 khz, the minimum on-time requirement would be slightly less than 10% of the period (1 µs). As the minimum duty cycle occurs at the peak of the ac line voltage, at nominal 220-V input voltage, the duty cycle requirement is around 22% leaving ample headroom for the immediate update to occur with large variations in the control output. As input voltage increases further, the immediate update would be disabled and there would be a slight reduction in phase margin. However, this would occur outside of the nominal conditions How2Power. All rights reserved. Page 7 of 8

8 An example was carried out using a synchronous buck converter in which all of the techniques discussed in this article were incorporated. The results showed that the sampling rate increased from every other PWM cycle (175 khz) to every PWM cycle (350 khz) without requiring more MIPS, the compensator software was written for quick write back to the control-output variable, the PWM interrupt was generated for processing the control loop, and 50% on-time trigger with immediate updates was enabled. What was observed was an increase in phase margin of roughly 16. The loop-gain performance started out as marginally stable with only 46 of phase margin and ended up being very close to an analog counterpart at 62. The techniques for reducing phase erosion in this paper are not a cure-all; however they are applicable to a wide range of designs and will provide some degree of loop-gain enhancement. About The Author As an applications engineer for Microchip s 16-bit Microcontroller Division, Alex Dumais supports Microchip s dspic digital signal controllers (DSCs) for switch-mode power supplies. He is involved in product definition, device validation, creating training and documentation collateral, as well as customer support. He also designs and develops power-supply reference designs, such as those for ac-dc converters, dc-dc converters, lighting and solar applications, to demonstrate the capabilities of the DSCs. Alex received his bachelor s degree from Arizona State University and has since been working in the power-electronics field supporting digital control. For further reading on digital power control techniques, see the How2Power Design Guide, locate the Popular Topics category and select Digital Power How2Power. All rights reserved. Page 8 of 8

Digital Power-Conversion for the Analog Engineer

Digital Power-Conversion for the Analog Engineer Digital Power-Conversion for the Analog Engineer By Bryan Kris Staff Architect, Architecture & Applications Digital Signal Controller Division Microchip Technology Inc. It is no secret that, in the past,

More information

Power Factor Correction in Digital World. Abstract. 1 Introduction. 3 Advantages of Digital PFC over traditional Analog PFC.

Power Factor Correction in Digital World. Abstract. 1 Introduction. 3 Advantages of Digital PFC over traditional Analog PFC. Power Factor Correction in Digital World By Nitin Agarwal, STMicroelectronics Pvt. Ltd., India Abstract There are various reasons why power factor correction circuit is used in various power supplies in

More information

Application - Power Factor Correction (PFC) with XMC TM. XMC microcontrollers July 2016

Application - Power Factor Correction (PFC) with XMC TM. XMC microcontrollers July 2016 Application - Power Factor Correction (PFC) with XMC TM XMC microcontrollers July 2016 Agenda 1 Key features 2 Specification 3 System block diagram 4 Software overview 5 Highlight MCU features 6 CCM PFC

More information

Single Phase Two-Channel Interleaved PFC Operating in CrM Using the MC56F82xxx Family of Digital Signal Controllers

Single Phase Two-Channel Interleaved PFC Operating in CrM Using the MC56F82xxx Family of Digital Signal Controllers Freescale Semiconductor Application Note Document Number: AN4836 Rev. 1, 07/2014 Single Phase Two-Channel Interleaved PFC Operating in CrM Using the MC56F82xxx Family of Digital Signal Controllers by Freescale

More information

Increasing Performance Requirements and Tightening Cost Constraints

Increasing Performance Requirements and Tightening Cost Constraints Maxim > Design Support > Technical Documents > Application Notes > Power-Supply Circuits > APP 3767 Keywords: Intel, AMD, CPU, current balancing, voltage positioning APPLICATION NOTE 3767 Meeting the Challenges

More information

CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER

CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER 59 CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER 4.1 Conventional Method A buck-boost converter circuit is a combination of the buck converter topology and a boost converter

More information

Chapter 10 Switching DC Power Supplies

Chapter 10 Switching DC Power Supplies Chapter 10 Switching One of the most important applications of power electronics 10-1 Linear Power Supplies Very poor efficiency and large weight and size 10-2 Switching DC Power Supply: Block Diagram

More information

Adaptive Digital Slope Compensation for Peak Current Mode Control. Peter Ide, Frank Schafmeister, Tobias Grote

Adaptive Digital Slope Compensation for Peak Current Mode Control. Peter Ide, Frank Schafmeister, Tobias Grote IBM Power and Cooling Technology Symposium Adaptive Digital Slope Compensation for Peak Current Mode Control Peter Ide, Frank Schafmeister, Tobias Grote Digital Control at DES CD-BU Full Digital Control

More information

AN4507 Application note

AN4507 Application note Application note PWM resolution enhancement through a dithering technique for STM32 advanced-configuration, general-purpose and lite timers Introduction Nowadays power-switching electronics exhibit remarkable

More information

FlexTimer and ADC Synchronization

FlexTimer and ADC Synchronization Freescale Semiconductor Application Note AN3731 Rev. 0, 06/2008 FlexTimer and ADC Synchronization How FlexTimer is Used to Synchronize PWM Reloading and Hardware ADC Triggering by: Eduardo Viramontes Systems

More information

Power supplies are one of the last holdouts of true. The Purpose of Loop Gain DESIGNER SERIES

Power supplies are one of the last holdouts of true. The Purpose of Loop Gain DESIGNER SERIES DESIGNER SERIES Power supplies are one of the last holdouts of true analog feedback in electronics. For various reasons, including cost, noise, protection, and speed, they have remained this way in the

More information

The University of Texas at Arlington Lecture 10 ADC and DAC

The University of Texas at Arlington Lecture 10 ADC and DAC The University of Texas at Arlington Lecture 10 ADC and DAC CSE 3442/5442 Measuring Physical Quantities (Digital) computers use discrete values, and use these to emulate continuous values if needed. In

More information

Vishay Siliconix AN724 Designing A High-Frequency, Self-Resonant Reset Forward DC/DC For Telecom Using Si9118/9 PWM/PSM Controller.

Vishay Siliconix AN724 Designing A High-Frequency, Self-Resonant Reset Forward DC/DC For Telecom Using Si9118/9 PWM/PSM Controller. AN724 Designing A High-Frequency, Self-Resonant Reset Forward DC/DC For Telecom Using Si9118/9 PWM/PSM Controller by Thong Huynh FEATURES Fixed Telecom Input Voltage Range: 30 V to 80 V 5-V Output Voltage,

More information

Optimizing System Operation Using a Flexible Digital PWM Controller

Optimizing System Operation Using a Flexible Digital PWM Controller Optimizing System Operation Using a Flexible Digital PWM Controller Ka Leung Silicon Laboratories Inc. 7000 West William Cannon Drive, Austin, TX 78735 Email: Ka.leung@silabs.com Abstract - This paper

More information

1 Introduction. Freescale Semiconductor Application Note. Document Number: AN4386 Rev. 0, 01/2012

1 Introduction. Freescale Semiconductor Application Note. Document Number: AN4386 Rev. 0, 01/2012 Freescale Semiconductor Application Note Document Number: AN4386 Rev. 0, 01/2012 Implementing on the MC56F8257 A Single Phase Two-Channel Interleaved Critical Conduction Mode by: Petr Frgal System Application

More information

XC800 Peripheral Highlights

XC800 Peripheral Highlights XC800 Peripheral Highlights 8-bit microcontrollers July 2008 Industrial and Multimarket Microcontroller AIM MC IMM Agenda Realtime Applications Example Switched Mode Power Supply CC6 Example Current Measurement

More information

High Performance ZVS Buck Regulator Removes Barriers To Increased Power Throughput In Wide Input Range Point-Of-Load Applications

High Performance ZVS Buck Regulator Removes Barriers To Increased Power Throughput In Wide Input Range Point-Of-Load Applications WHITE PAPER High Performance ZVS Buck Regulator Removes Barriers To Increased Power Throughput In Wide Input Range Point-Of-Load Applications Written by: C. R. Swartz Principal Engineer, Picor Semiconductor

More information

Digital Power Seminar

Digital Power Seminar Digital Power Seminar Agenda 1.What is Digital Power 2.Benefits of Digital Power 3.Digital Power Applications 4.Levels of Integration 5.Full Digital Control 6.Solutions from Microchip for Digital Power

More information

Using Z8 Encore! XP MCU for RMS Calculation

Using Z8 Encore! XP MCU for RMS Calculation Application te Using Z8 Encore! XP MCU for RMS Calculation Abstract This application note discusses an algorithm for computing the Root Mean Square (RMS) value of a sinusoidal AC input signal using the

More information

REAL TIME DIGITAL SIGNAL PROCESSING. Introduction

REAL TIME DIGITAL SIGNAL PROCESSING. Introduction REAL TIME DIGITAL SIGNAL Introduction Why Digital? A brief comparison with analog. PROCESSING Seminario de Electrónica: Sistemas Embebidos Advantages The BIG picture Flexibility. Easily modifiable and

More information

AN1421. Platinum-rated AC/DC Reference Design Using the dspic DSC ENERGY STAR AND THE CLIMATE SAVERS COMPUTING INITIATIVE (CSCI)

AN1421. Platinum-rated AC/DC Reference Design Using the dspic DSC ENERGY STAR AND THE CLIMATE SAVERS COMPUTING INITIATIVE (CSCI) Using the dspic DSC AN1421 Author: Andreas Reiter and Alex Dumais Microchip Technology Inc. ENERGY STAR AND THE CLIMATE SAVERS COMPUTING INITIATIVE (CSCI) Today, Green Power is one of the hottest topics

More information

Methodology for testing a regulator in a DC/DC Buck Converter using Bode 100 and SpCard

Methodology for testing a regulator in a DC/DC Buck Converter using Bode 100 and SpCard Methodology for testing a regulator in a DC/DC Buck Converter using Bode 100 and SpCard J. M. Molina. Abstract Power Electronic Engineers spend a lot of time designing their controls, nevertheless they

More information

NOVEMBER 28, 2016 COURSE PROJECT: CMOS SWITCHING POWER SUPPLY EE 421 DIGITAL ELECTRONICS ERIC MONAHAN

NOVEMBER 28, 2016 COURSE PROJECT: CMOS SWITCHING POWER SUPPLY EE 421 DIGITAL ELECTRONICS ERIC MONAHAN NOVEMBER 28, 2016 COURSE PROJECT: CMOS SWITCHING POWER SUPPLY EE 421 DIGITAL ELECTRONICS ERIC MONAHAN 1.Introduction: CMOS Switching Power Supply The course design project for EE 421 Digital Engineering

More information

Digital Controller Eases Design Of Interleaved PFC For Multi-kilowatt Converters

Digital Controller Eases Design Of Interleaved PFC For Multi-kilowatt Converters ISSUE: June 2017 Digital Controller Eases Design Of Interleaved PFC For Multi-kilowatt Converters by Rosario Attanasio, Giuseppe Di Caro, Sebastiano Messina, and Marco Torrisi, STMicroelectronics, Schaumburg,

More information

AN2388. Peak Current Controlled ZVS Full-Bridge Converter with Digital Slope Compensation ABSTRACT INTRODUCTION

AN2388. Peak Current Controlled ZVS Full-Bridge Converter with Digital Slope Compensation ABSTRACT INTRODUCTION Peak Current Controlled ZVS Full-Bridge Converter with Digital Slope Compensation Author: ABSTRACT This application note features a detailed discussion on plant modeling, control system design and firmware

More information

Digital Control Implementation to Reduce the Cost and Improve the Performance of the Control Stage of an Industrial Switch-Mode Power Supply

Digital Control Implementation to Reduce the Cost and Improve the Performance of the Control Stage of an Industrial Switch-Mode Power Supply Digital Control Implementation to Reduce the Cost and Improve the Performance of the Control Stage of an Industrial Switch-Mode Power Supply D. Díaz, O. García, J.A. Oliver, P. Alou, F. Moreno, B. Duret,

More information

Data Converters. Lecture Fall2013 Page 1

Data Converters. Lecture Fall2013 Page 1 Data Converters Lecture Fall2013 Page 1 Lecture Fall2013 Page 2 Representing Real Numbers Limited # of Bits Many physically-based values are best represented with realnumbers as opposed to a discrete number

More information

Hello, and welcome to this presentation of the STM32G0 digital-to-analog converter. This block is used to convert digital signals to analog voltages

Hello, and welcome to this presentation of the STM32G0 digital-to-analog converter. This block is used to convert digital signals to analog voltages Hello, and welcome to this presentation of the STM32G0 digital-to-analog converter. This block is used to convert digital signals to analog voltages which can interface with the external world. 1 The STM32G0

More information

Digital Power: Consider The Possibilities

Digital Power: Consider The Possibilities Power: Consider The Possibilities Joseph G Renauer Michael G. Amaro David Figoli Texas Instruments 1 The Promise of Power Accuracy and precision No drift Unit to unit uniformity Programmable performance

More information

Hardware Platforms and Sensors

Hardware Platforms and Sensors Hardware Platforms and Sensors Tom Spink Including material adapted from Bjoern Franke and Michael O Boyle Hardware Platform A hardware platform describes the physical components that go to make up a particular

More information

Chapter 3 : Closed Loop Current Mode DC\DC Boost Converter

Chapter 3 : Closed Loop Current Mode DC\DC Boost Converter Chapter 3 : Closed Loop Current Mode DC\DC Boost Converter 3.1 Introduction DC/DC Converter efficiently converts unregulated DC voltage to a regulated DC voltage with better efficiency and high power density.

More information

AVERAGE CURRENT MODE CONTROL IN POWER ELECTRONIC CONVERTERS ANALOG VERSUS DIGITAL. K. D. Purton * and R. P. Lisner**

AVERAGE CURRENT MODE CONTROL IN POWER ELECTRONIC CONVERTERS ANALOG VERSUS DIGITAL. K. D. Purton * and R. P. Lisner** AVERAGE CURRENT MODE CONTROL IN POWER ELECTRONIC CONVERTERS ANALOG VERSUS DIGITAL Abstract K. D. Purton * and R. P. Lisner** *Department of Electrical and Computer System Engineering, Monash University,

More information

DIGITALLY ASSISTED ANALOG: REDUCING DESIGN CONSTRAINTS USING NONLINEAR DIGITAL SIGNAL PROCESSING

DIGITALLY ASSISTED ANALOG: REDUCING DESIGN CONSTRAINTS USING NONLINEAR DIGITAL SIGNAL PROCESSING DIGITALLY ASSISTED ANALOG: REDUCING DESIGN CONSTRAINTS USING NONLINEAR DIGITAL SIGNAL PROCESSING Batruni, Roy (Optichron, Inc., Fremont, CA USA, roy.batruni@optichron.com); Ramachandran, Ravi (Optichron,

More information

Hello, and welcome to this presentation of the STM32 Digital Filter for Sigma-Delta modulators interface. The features of this interface, which

Hello, and welcome to this presentation of the STM32 Digital Filter for Sigma-Delta modulators interface. The features of this interface, which Hello, and welcome to this presentation of the STM32 Digital Filter for Sigma-Delta modulators interface. The features of this interface, which behaves like ADC with external analog part and configurable

More information

9 Best Practices for Optimizing Your Signal Generator Part 2 Making Better Measurements

9 Best Practices for Optimizing Your Signal Generator Part 2 Making Better Measurements 9 Best Practices for Optimizing Your Signal Generator Part 2 Making Better Measurements In consumer wireless, military communications, or radar, you face an ongoing bandwidth crunch in a spectrum that

More information

Low Cost Motor Control Family

Low Cost Motor Control Family Low Cost Motor Control Family 2011 Microchip Technology Incorporated. All Rights Reserved. Comparator with blanking and filtering Slide 1 Welcome to the Low Cost Motor Control Family web seminar. My Name

More information

Fuel Cell Based Interleaved Boost Converter for High Voltage Applications

Fuel Cell Based Interleaved Boost Converter for High Voltage Applications International Journal for Modern Trends in Science and Technology Volume: 03, Issue No: 05, May 2017 ISSN: 2455-3778 http://www.ijmtst.com Fuel Cell Based Interleaved Boost Converter for High Voltage Applications

More information

EE445L Spring 2018 Final EID: Page 1 of 7

EE445L Spring 2018 Final EID: Page 1 of 7 EE445L Spring 2018 Final EID: Page 1 of 7 Jonathan W. Valvano First: Last: This is the closed book section. Calculator is allowed (no laptops, phones, devices with wireless communication). You must put

More information

Current Rebuilding Concept Applied to Boost CCM for PF Correction

Current Rebuilding Concept Applied to Boost CCM for PF Correction Current Rebuilding Concept Applied to Boost CCM for PF Correction Sindhu.K.S 1, B. Devi Vighneshwari 2 1, 2 Department of Electrical & Electronics Engineering, The Oxford College of Engineering, Bangalore-560068,

More information

Digital Control for Power Electronics 2.0

Digital Control for Power Electronics 2.0 Digital Control for Power Electronics 2.0 Michael Harrison 9 th November 2017 Driving Factors for Improved SMPS Control 2 End market requirements for improved SMPS performance: Power conversion efficiency

More information

Generating DTMF Tones Using Z8 Encore! MCU

Generating DTMF Tones Using Z8 Encore! MCU Application Note Generating DTMF Tones Using Z8 Encore! MCU AN024802-0608 Abstract This Application Note describes how Zilog s Z8 Encore! MCU is used as a Dual-Tone Multi- (DTMF) signal encoder to generate

More information

Combining Multipath and Single-Path Time-Interleaved Delta-Sigma Modulators Ahmed Gharbiya and David A. Johns

Combining Multipath and Single-Path Time-Interleaved Delta-Sigma Modulators Ahmed Gharbiya and David A. Johns 1224 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 12, DECEMBER 2008 Combining Multipath and Single-Path Time-Interleaved Delta-Sigma Modulators Ahmed Gharbiya and David A.

More information

Chapter 13: Comparators

Chapter 13: Comparators Chapter 13: Comparators So far, we have used op amps in their normal, linear mode, where they follow the op amp Golden Rules (no input current to either input, no voltage difference between the inputs).

More information

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2 Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2 1 PG student, Department of ECE, Vivekanandha College of Engineering for Women. 2 Assistant

More information

νµθωερτψυιοπασδφγηϕκλζξχϖβνµθωερτ ψυιοπασδφγηϕκλζξχϖβνµθωερτψυιοπα σδφγηϕκλζξχϖβνµθωερτψυιοπασδφγηϕκ χϖβνµθωερτψυιοπασδφγηϕκλζξχϖβνµθ

νµθωερτψυιοπασδφγηϕκλζξχϖβνµθωερτ ψυιοπασδφγηϕκλζξχϖβνµθωερτψυιοπα σδφγηϕκλζξχϖβνµθωερτψυιοπασδφγηϕκ χϖβνµθωερτψυιοπασδφγηϕκλζξχϖβνµθ θωερτψυιοπασδφγηϕκλζξχϖβνµθωερτψ υιοπασδφγηϕκλζξχϖβνµθωερτψυιοπασδ φγηϕκλζξχϖβνµθωερτψυιοπασδφγηϕκλζ ξχϖβνµθωερτψυιοπασδφγηϕκλζξχϖβνµ EE 331 Design Project Final Report θωερτψυιοπασδφγηϕκλζξχϖβνµθωερτψ

More information

DSPIC based Low Cost and Efficient Digitized Feedback Loop for DC-DC Converter

DSPIC based Low Cost and Efficient Digitized Feedback Loop for DC-DC Converter International Journal of Electronic and Electrical Engineering. ISSN 0974-2174 Volume 7, Number 7 (2014), pp. 703-708 International Research Publication House http://www.irphouse.com DSPIC based Low Cost

More information

The physics of capacitive touch technology

The physics of capacitive touch technology The physics of capacitive touch technology By Tom Perme Applications Engineer Microchip Technology Inc. Introduction Understanding the physics of capacitive touch technology makes it easier to choose the

More information

Foundations (Part 2.C) - Peak Current Mode PSU Compensator Design

Foundations (Part 2.C) - Peak Current Mode PSU Compensator Design Foundations (Part 2.C) - Peak Current Mode PSU Compensator Design tags: peak current mode control, compensator design Abstract Dr. Michael Hallworth, Dr. Ali Shirsavar In the previous article we discussed

More information

Analog to Digital Conversion

Analog to Digital Conversion Analog to Digital Conversion The MSP in the name of our microcontroller MSP430G2554 is abbreviation for Mixed Signal Processor. This means that our microcontroller can be used to handle both analog and

More information

CHAPTER 4 CONTROL ALGORITHM FOR PROPOSED H-BRIDGE MULTILEVEL INVERTER

CHAPTER 4 CONTROL ALGORITHM FOR PROPOSED H-BRIDGE MULTILEVEL INVERTER 65 CHAPTER 4 CONTROL ALGORITHM FOR PROPOSED H-BRIDGE MULTILEVEL INVERTER 4.1 INTRODUCTION Many control strategies are available for the control of IMs. The Direct Torque Control (DTC) is one of the most

More information

PCM BIT SYNCHRONIZATION TO AN Eb/No THRESHOLD OF -20 db

PCM BIT SYNCHRONIZATION TO AN Eb/No THRESHOLD OF -20 db PCM BIT SYNCHRONIZATION TO AN Eb/No THRESHOLD OF -20 db Item Type text; Proceedings Authors Schroeder, Gene F. Publisher International Foundation for Telemetering Journal International Telemetering Conference

More information

Low Cost 100 g Single Axis Accelerometer with Analog Output ADXL190*

Low Cost 100 g Single Axis Accelerometer with Analog Output ADXL190* a FEATURES imems Single Chip IC Accelerometer 40 Milli-g Resolution Low Power ma 400 Hz Bandwidth +5.0 V Single Supply Operation 000 g Shock Survival APPLICATIONS Shock and Vibration Measurement Machine

More information

EE445L Fall 2012 Final Version B Page 1 of 7

EE445L Fall 2012 Final Version B Page 1 of 7 EE445L Fall 2012 Final Version B Page 1 of 7 Jonathan W. Valvano First: Last: This is the closed book section. You must put your answers in the boxes on this answer page. When you are done, you turn in

More information

AC Induction Motor (ACIM) Control using a Digital Signal Controller (DSC)

AC Induction Motor (ACIM) Control using a Digital Signal Controller (DSC) Research Journal of Applied Sciences, Engineering and Technology 4(19): 3740-3745, 2012 ISSN: 2040-7467 Maxwell Scientific Organization, 2012 Submitted: March 07, 2012 Accepted: March 30, 2012 Published:

More information

The Design and Characterization of an 8-bit ADC for 250 o C Operation

The Design and Characterization of an 8-bit ADC for 250 o C Operation The Design and Characterization of an 8-bit ADC for 25 o C Operation By Lynn Reed, John Hoenig and Vema Reddy Tekmos, Inc. 791 E. Riverside Drive, Bldg. 2, Suite 15, Austin, TX 78744 Abstract Many high

More information

POWER- SWITCHING CONVERTERS Medium and High Power

POWER- SWITCHING CONVERTERS Medium and High Power POWER- SWITCHING CONVERTERS Medium and High Power By Dorin O. Neacsu Taylor &. Francis Taylor & Francis Group Boca Raton London New York CRC is an imprint of the Taylor & Francis Group, an informa business

More information

In this lecture, we will look at how different electronic modules communicate with each other. We will consider the following topics:

In this lecture, we will look at how different electronic modules communicate with each other. We will consider the following topics: In this lecture, we will look at how different electronic modules communicate with each other. We will consider the following topics: Links between Digital and Analogue Serial vs Parallel links Flow control

More information

A Fast-Transient Wide-Voltage-Range Digital- Controlled Buck Converter with Cycle- Controlled DPWM

A Fast-Transient Wide-Voltage-Range Digital- Controlled Buck Converter with Cycle- Controlled DPWM A Fast-Transient Wide-Voltage-Range Digital- Controlled Buck Converter with Cycle- Controlled DPWM Abstract: This paper presents a wide-voltage-range, fast-transient all-digital buck converter using a

More information

Performance Metrics. Computer Architecture. Outline. Objectives. Basic Performance Metrics. Basic Performance Metrics

Performance Metrics. Computer Architecture. Outline. Objectives. Basic Performance Metrics. Basic Performance Metrics Computer Architecture Prof. Dr. Nizamettin AYDIN naydin@yildiz.edu.tr nizamettinaydin@gmail.com Performance Metrics http://www.yildiz.edu.tr/~naydin 1 2 Objectives How can we meaningfully measure and compare

More information

Digital PWM IC Control Technology and Issues

Digital PWM IC Control Technology and Issues Digital PWM IC Control Technology and Issues Prof. Seth R. Sanders Angel V. Peterchev Jinwen Xiao Jianhui Zhang Department of EECS University of California, Berkeley Digital Control Advantages implement

More information

RX23T inverter ref. kit

RX23T inverter ref. kit RX23T inverter ref. kit Deep Dive October 2015 YROTATE-IT-RX23T kit content Page 2 YROTATE-IT-RX23T kit: 3-ph. Brushless Motor Specs Page 3 Motors & driving methods supported Brushless DC Permanent Magnet

More information

Design of Pipeline Analog to Digital Converter

Design of Pipeline Analog to Digital Converter Design of Pipeline Analog to Digital Converter Vivek Tripathi, Chandrajit Debnath, Rakesh Malik STMicroelectronics The pipeline analog-to-digital converter (ADC) architecture is the most popular topology

More information

Minimizing Input Filter Requirements In Military Power Supply Designs

Minimizing Input Filter Requirements In Military Power Supply Designs Keywords Venable, frequency response analyzer, MIL-STD-461, input filter design, open loop gain, voltage feedback loop, AC-DC, transfer function, feedback control loop, maximize attenuation output, impedance,

More information

Hello, and welcome to this presentation of the FlexTimer or FTM module for Kinetis K series MCUs. In this session, you ll learn about the FTM, its

Hello, and welcome to this presentation of the FlexTimer or FTM module for Kinetis K series MCUs. In this session, you ll learn about the FTM, its Hello, and welcome to this presentation of the FlexTimer or FTM module for Kinetis K series MCUs. In this session, you ll learn about the FTM, its main features and the application benefits of leveraging

More information

Digitally Controlled Point of Load Converter with Very Fast Transient Response

Digitally Controlled Point of Load Converter with Very Fast Transient Response Digitally Controlled Point of Load Converter with Very Fast Transient Response Lars T. Jakobsen and Michael A.E. Andersen Oersted-Automation, Technical University of Denmark Elektrovej Building 325 28

More information

8-bit Microcontroller with 512/1024 Bytes In-System Programmable Flash. ATtiny4/5/9/10

8-bit Microcontroller with 512/1024 Bytes In-System Programmable Flash. ATtiny4/5/9/10 Features High Performance, Low Power AVR 8-Bit Microcontroller Advanced RISC Architecture 54 Powerful Instructions Most Single Clock Cycle Execution 16 x 8 General Purpose Working Registers Fully Static

More information

CHAPTER 7 HARDWARE IMPLEMENTATION

CHAPTER 7 HARDWARE IMPLEMENTATION 168 CHAPTER 7 HARDWARE IMPLEMENTATION 7.1 OVERVIEW In the previous chapters discussed about the design and simulation of Discrete controller for ZVS Buck, Interleaved Boost, Buck-Boost, Double Frequency

More information

Section 1. Fundamentals of DDS Technology

Section 1. Fundamentals of DDS Technology Section 1. Fundamentals of DDS Technology Overview Direct digital synthesis (DDS) is a technique for using digital data processing blocks as a means to generate a frequency- and phase-tunable output signal

More information

Demonstration. Agenda

Demonstration. Agenda Demonstration Edward Lee 2009 Microchip Technology, Inc. 1 Agenda 1. Buck/Boost Board with Explorer 16 2. AC/DC Reference Design 3. Pure Sinewave Inverter Reference Design 4. Interleaved PFC Reference

More information

8-Bit A/D Converter AD673 REV. A FUNCTIONAL BLOCK DIAGRAM

8-Bit A/D Converter AD673 REV. A FUNCTIONAL BLOCK DIAGRAM a FEATURES Complete 8-Bit A/D Converter with Reference, Clock and Comparator 30 s Maximum Conversion Time Full 8- or 16-Bit Microprocessor Bus Interface Unipolar and Bipolar Inputs No Missing Codes Over

More information

CHAPTER 3. SINGLE-STAGE PFC TOPOLOGY GENERALIZATION AND VARIATIONS

CHAPTER 3. SINGLE-STAGE PFC TOPOLOGY GENERALIZATION AND VARIATIONS CHAPTER 3. SINGLE-STAGE PFC TOPOLOG GENERALIATION AND VARIATIONS 3.1. INTRODUCTION The original DCM S 2 PFC topology offers a simple integration of the DCM boost rectifier and the PWM DC/DC converter.

More information

ME 333 Assignment 7 and 8 PI Control of LED/Phototransistor Pair. Overview

ME 333 Assignment 7 and 8 PI Control of LED/Phototransistor Pair. Overview ME 333 Assignment 7 and 8 PI Control of LED/Phototransistor Pair Overview For this assignment, you will be controlling the light emitted from and received by an LED/phototransistor pair. There are many

More information

CHAPTER 6 DEVELOPMENT OF A CONTROL ALGORITHM FOR BUCK AND BOOST DC-DC CONVERTERS USING DSP

CHAPTER 6 DEVELOPMENT OF A CONTROL ALGORITHM FOR BUCK AND BOOST DC-DC CONVERTERS USING DSP 115 CHAPTER 6 DEVELOPMENT OF A CONTROL ALGORITHM FOR BUCK AND BOOST DC-DC CONVERTERS USING DSP 6.1 INTRODUCTION Digital control of a power converter is becoming more and more common in industry today because

More information

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN An efficient add multiplier operator design using modified Booth recoder 1 I.K.RAMANI, 2 V L N PHANI PONNAPALLI 2 Assistant Professor 1,2 PYDAH COLLEGE OF ENGINEERING & TECHNOLOGY, Visakhapatnam,AP, India.

More information

Department of Electrical Engineering

Department of Electrical Engineering Department of Electrical Engineering Master Thesis Modelling and design of digital DC-DC converters Master thesis performed in datorteknik by Hiwa Mobaraz LiTH-ISY-EX--16/4942--SE Linköping 2016 Department

More information

Hello and welcome to this Renesas Interactive Course that provides an overview of the timers found on RL78 MCUs.

Hello and welcome to this Renesas Interactive Course that provides an overview of the timers found on RL78 MCUs. Hello and welcome to this Renesas Interactive Course that provides an overview of the timers found on RL78 MCUs. 1 The purpose of this course is to provide an introduction to the RL78 timer Architecture.

More information

Audio Sample Rate Conversion in FPGAs

Audio Sample Rate Conversion in FPGAs Audio Sample Rate Conversion in FPGAs An efficient implementation of audio algorithms in programmable logic. by Philipp Jacobsohn Field Applications Engineer Synplicity eutschland GmbH philipp@synplicity.com

More information

CHAPTER 4 HARDWARE DEVELOPMENT OF STATCOM

CHAPTER 4 HARDWARE DEVELOPMENT OF STATCOM 74 CHAPTER 4 HARDWARE DEVELOPMENT OF STATCOM 4.1 LABORATARY SETUP OF STATCOM The laboratory setup of the STATCOM consists of the following hardware components: Three phase auto transformer used as a 3

More information

INTERFACING WITH INTERRUPTS AND SYNCHRONIZATION TECHNIQUES

INTERFACING WITH INTERRUPTS AND SYNCHRONIZATION TECHNIQUES Faculty of Engineering INTERFACING WITH INTERRUPTS AND SYNCHRONIZATION TECHNIQUES Lab 1 Prepared by Kevin Premrl & Pavel Shering ID # 20517153 20523043 3a Mechatronics Engineering June 8, 2016 1 Phase

More information

Microcontroller: Timers, ADC

Microcontroller: Timers, ADC Microcontroller: Timers, ADC Amarjeet Singh February 1, 2013 Logistics Please share the JTAG and USB cables for your assignment Lecture tomorrow by Nipun 2 Revision from last class When servicing an interrupt,

More information

Design of an 80kV, 40A Resonant SMPS for Pulsed Power Applications

Design of an 80kV, 40A Resonant SMPS for Pulsed Power Applications Design of an 8kV, 4A Resonant SMPS for Pulsed Power Applications Paul Nonn, Andrew Seltzman, Jay Anderson University of Wisconsin Madison Department of Physics IEEE IPMHVC June 4, 212 Three Phase Resonant

More information

Driver Solutions for LED Backlighting

Driver Solutions for LED Backlighting Driver Solutions for LED Backlighting By Cirel Systems Technical White Paper- Version 1.0 Introduction White LEDs (WLED) are increasingly becoming the light source of choice for backlighting applications

More information

FMC ADC 125M 14b 1ch DAC 600M 14b 1ch Technical Specification

FMC ADC 125M 14b 1ch DAC 600M 14b 1ch Technical Specification FMC ADC 125M 14b 1ch DAC 600M 14b 1ch Technical Specification Tony Rohlev October 5, 2011 Abstract The FMC ADC 125M 14b 1ch DAC 600M 14b 1ch is a FMC form factor card with a single ADC input and a single

More information

As delivered power levels approach 200W, sometimes before then, heatsinking issues become a royal pain. PWM is a way to ease this pain.

As delivered power levels approach 200W, sometimes before then, heatsinking issues become a royal pain. PWM is a way to ease this pain. 1 As delivered power levels approach 200W, sometimes before then, heatsinking issues become a royal pain. PWM is a way to ease this pain. 2 As power levels increase the task of designing variable drives

More information

MaxxBass Development Recommendations

MaxxBass Development Recommendations MaxxBass Development Recommendations 1 Purpose The document provides recommendations on MaxxBass in evaluation, selection of possible implementations, circuit design and testing. It also refers to several

More information

Effect of Programmable UVLO on Maximum Duty Cycle Achievable With the TPS4005x and TPS4006x Family of Synchronous Buck Controllers

Effect of Programmable UVLO on Maximum Duty Cycle Achievable With the TPS4005x and TPS4006x Family of Synchronous Buck Controllers Application Report SLUA310 - April 2004 Effect of Programmable UVLO on Maximum Duty Cycle Achievable With the TPS4005x and TPS4006x Family of Synchronous Buck Controllers ABSTRACT System Power The programmable

More information

Houngninou 2. Abstract

Houngninou 2. Abstract Houngninou 2 Abstract The project consists of designing and building a system that monitors the phase of two pulses A and B. Three colored LEDs are used to identify the phase comparison. When the rising

More information

Reduce Load Capacitance in Noise-Sensitive, High-Transient Applications, through Implementation of Active Filtering

Reduce Load Capacitance in Noise-Sensitive, High-Transient Applications, through Implementation of Active Filtering WHITE PAPER Reduce Load Capacitance in Noise-Sensitive, High-Transient Applications, through Implementation of Active Filtering Written by: Chester Firek, Product Marketing Manager and Bob Kent, Applications

More information

IMPLEMENTING THE 10-BIT, 50MS/SEC PIPELINED ADC

IMPLEMENTING THE 10-BIT, 50MS/SEC PIPELINED ADC 98 CHAPTER 5 IMPLEMENTING THE 0-BIT, 50MS/SEC PIPELINED ADC 99 5.0 INTRODUCTION This chapter is devoted to describe the implementation of a 0-bit, 50MS/sec pipelined ADC with different stage resolutions

More information

Switched-mode power supply control circuit

Switched-mode power supply control circuit DESCRIPTION The /SE6 is a control circuit for use in switched-mode power supplies. It contains an internal temperature- compensated supply, PWM, sawtooth oscillator, overcurrent sense latch, and output

More information

CHAPTER 7 MAXIMUM POWER POINT TRACKING USING HILL CLIMBING ALGORITHM

CHAPTER 7 MAXIMUM POWER POINT TRACKING USING HILL CLIMBING ALGORITHM 100 CHAPTER 7 MAXIMUM POWER POINT TRACKING USING HILL CLIMBING ALGORITHM 7.1 INTRODUCTION An efficient Photovoltaic system is implemented in any place with minimum modifications. The PV energy conversion

More information

PCB Scope / Logic Analyzer Hardware Design Description

PCB Scope / Logic Analyzer Hardware Design Description PCB Scope / Logic Analyzer Hardware Design Description Introduction The PCB scope is the result of a challenge I set for myself to build a practically usable oscilloscope with a minimum amount of components

More information

A Solution to Simplify 60A Multiphase Designs By John Lambert & Chris Bull, International Rectifier, USA

A Solution to Simplify 60A Multiphase Designs By John Lambert & Chris Bull, International Rectifier, USA A Solution to Simplify 60A Multiphase Designs By John Lambert & Chris Bull, International Rectifier, USA As presented at PCIM 2001 Today s servers and high-end desktop computer CPUs require peak currents

More information

Vector Arithmetic Logic Unit Amit Kumar Dutta JIS College of Engineering, Kalyani, WB, India

Vector Arithmetic Logic Unit Amit Kumar Dutta JIS College of Engineering, Kalyani, WB, India Vol. 2 Issue 2, December -23, pp: (75-8), Available online at: www.erpublications.com Vector Arithmetic Logic Unit Amit Kumar Dutta JIS College of Engineering, Kalyani, WB, India Abstract: Real time operation

More information

Unit-6 PROGRAMMABLE INTERRUPT CONTROLLERS 8259A-PROGRAMMABLE INTERRUPT CONTROLLER (PIC) INTRODUCTION

Unit-6 PROGRAMMABLE INTERRUPT CONTROLLERS 8259A-PROGRAMMABLE INTERRUPT CONTROLLER (PIC) INTRODUCTION M i c r o p r o c e s s o r s a n d M i c r o c o n t r o l l e r s P a g e 1 PROGRAMMABLE INTERRUPT CONTROLLERS 8259A-PROGRAMMABLE INTERRUPT CONTROLLER (PIC) INTRODUCTION Microcomputer system design requires

More information

FYS3240 PC-based instrumentation and microcontrollers. Signal sampling. Spring 2017 Lecture #5

FYS3240 PC-based instrumentation and microcontrollers. Signal sampling. Spring 2017 Lecture #5 FYS3240 PC-based instrumentation and microcontrollers Signal sampling Spring 2017 Lecture #5 Bekkeng, 30.01.2017 Content Aliasing Sampling Analog to Digital Conversion (ADC) Filtering Oversampling Triggering

More information

POWER DELIVERY SYSTEMS

POWER DELIVERY SYSTEMS www.silabs.com Smart. Connected. Energy-Friendly. CMOS ISOLATED GATE S ENHANCE POWER DELIVERY SYSTEMS CMOS Isolated Gate Drivers (ISOdrivers) Enhance Power Delivery Systems Fully integrated isolated gate

More information

CHAPTER 6 NEURO-FUZZY CONTROL OF TWO-STAGE KY BOOST CONVERTER

CHAPTER 6 NEURO-FUZZY CONTROL OF TWO-STAGE KY BOOST CONVERTER 73 CHAPTER 6 NEURO-FUZZY CONTROL OF TWO-STAGE KY BOOST CONVERTER 6.1 INTRODUCTION TO NEURO-FUZZY CONTROL The block diagram in Figure 6.1 shows the Neuro-Fuzzy controlling technique employed to control

More information

Course Introduction. Content 20 pages 3 questions. Learning Time 30 minutes

Course Introduction. Content 20 pages 3 questions. Learning Time 30 minutes Purpose The intent of this course is to provide you with information about the main features of the S08 Timer/PWM (TPM) interface module and how to configure and use it in common applications. Objectives

More information