Reduction. CSCE 6730 Advanced VLSI Systems. Instructor: Saraju P. Mohanty, Ph. D. NOTE: The figures, text etc included in slides are

Size: px
Start display at page:

Download "Reduction. CSCE 6730 Advanced VLSI Systems. Instructor: Saraju P. Mohanty, Ph. D. NOTE: The figures, text etc included in slides are"

Transcription

1 Lecture e 8: Peak Power Reduction CSCE 6730 Advanced VLSI Systems Instructor: Saraju P. Mohanty, Ph. D. NOTE: The figures, text etc included in slides are borrowed from various books, websites, authors pages, and other sources for academic purpose only. The instructor does not claim any originality. 1

2 Introduction Outline of the talk Related work Target architecture Peak power model ILP Formulations Scheduling algorithm Experimental results Source: S. P. Mohanty and N. Ranganathan, "Simultaneous Peak and Average Power Minimization during Datapath Scheduling", IEEE Transactions on Circuits and Systems Part I (TCAS-I), Vol. 52, No. 6, June 2005, pp

3 Peak power? The peak power is the maximum power consumption of the circuit at any instance during its execution. 3

4 Why peak power reduction? Reduction of peak power consumption is essential : (i) to maintain supply voltage levels (ii) to increase reliability (iii) to use smaller heat sinks (iv) to make packaging g cheaper 4

5 Energy Vs Peak power efficient scheduling Fig. (a) Fig. (b) Fig.(a) is energy efficient schedule, whereas Fig.(b) is peak power efficient i schedule for same resource constraint t 5

6 Related work (Energy efficient scheduling using voltage reduction) Chang and Pedram [3], 1997 Dynamic programming Johnson and Roy [4], 1997 ILP based MOVER algorithm using multiple supply voltages Lin, Hwang and Wu [5], 1997 ILP and heuristic for variable voltages (VV) and multicycling (MC) Mohanty and Ranganathan [7], 2003 Heuristic based using multiple supply voltage and dynamic clocking 6

7 Related work (Peak Power efficient scheduling) Martin and Knight [6], 1996 Simultaneous assignment and scheduling. Raghunathan, Ravi and Raghunathan [10], 2001 data monitor operations in VHDL. Shiue [12], 2000 ILP based and modified force direct scheduling for peak power minimization. Shiue and Chakrabarti [13], ILP model to minimize peak power and area for single voltage. 7

8 Voltage, Frequency and Power Trade-offs (i) voltage reduction increase in delay (ii) frequency reduction reduction in power not energy (and increase in delay) Beyond of (i) and (ii) reduction of switching capacitance can be considered. 8

9 What is our approach? Adjust the frequency and reduce the supply voltage for peak power reduction during datapath scheduling. 9

10 Target architecture All functional units have one register each and one multiplexor. Each functional unit feeds one register only. The register and the multiplexor l operate at the same voltage level as that of the functional units. Level converters are used when a low-voltage functional unit is driving a high-voltage functional unit. Operational delay of a FU : (d FU +d Mux +d Reg +d Conv ). 10

11 Peak power model For a DFG let us assume : c = any control step or clock cycle in DFG N = total number of control steps in the DFG R c = number of resources active in step c (same as number of operations in step c) f c =cycle frequency for control step c c α i,c = switching at resource i active in step c C i,c = load capacitance of resource i active in step c V ic i,c =operating voltage of resource i active in step c 11

12 Peak power model. The power consumption for any control step c is given by, P c = i={1 Rc} α i,c C i,c V 2 i,c f c The peak power consumption of the DFG is the maximum power consumption over all the control steps, P peak = maximum( P c ) c={1 N} 12

13 Peak power model. Using the above two equations the peak power consumption of the DFG is described as, P peak = maximum ( i={1 Rc} α i,c C i,c V 2 i,c f c ) c={1 N} This would serve as an objective function for the scheduling algorithm. 13

14 ILP formulations for MVDFC : notations O : total number of operations in the DFG o i : any operation i, 1 <= i <= O F k,v : functional unit of type k operating at voltage level v M k,v :maximum number of functional units of type k operating at voltage level v S i : as soon as possible time stamp for the operation o i E i :as late as possible time stamp for the operation o i P(i,v,f) : power consumption of operation o i at voltage level v and operating frequency f x i,c,v,f : decision variable which takes the value of 1 if operation o i is scheduled in control step c using the functional unit F k,v and c has frequency f 14

15 ILP formulations for MVDFC. (i) Objective Function (ii) Uniqueness Constraints (iii) Precedence Constraints (iv) Resource Constraints (v) Frequency Constraints (vi) Peak Power Constraints 15

16 ILP formulations for MVDFC. Objective Function : Minimize (P peak ) Uniqueness Constraints : ensure that every operation o i is scheduled to one unique control step and represented as, i, 1 i O, Σ c Σ v Σ f x i,c,v,f =1 16

17 ILP formulations for MVDFC... Precedence Constraints : guarantee that for an operation o i, all its predecessors are scheduled in an earlier control step and its successors are scheduled in an later control step and are; i,j, o i belong to Pred(o j ), Σ vσ f Σ {d=s Ei}d x - Σ Σ Σ {d=s Ej}e x -1 Si i,c,v,f v f Sj j,c,v,f Resource Constraints t : make sure thatt no control step contains more than F k,v operations of type k operating at voltage v and are enforced as, c,1 c N and v, Σ {iεf k,v}σ f x i,c,v,f M k,v 17

18 ILP formulations for MVDFC. Frequency Constraints : lower operating voltage functional unit can't be scheduled in a higher frequency control step; these constraints are expressed as, i, 1 i O, c, 1 c N, if f < v, then x i,c,v,f =0. Peak Power Constraints : ensure that the maximum power consumption of the DFG does not exceed P peak for any control step and we enforce these constraints as follows, c, 1 c N and v, Σ {iεf k,v}σ f x i,c,v,f P(i,v,f) P peak 18

19 ILP formulations for MVMC : notations O : total number of operations in the DFG o i : any operation i, 1<= i <= O F k,v : functional unit of type k operating at voltage level v M k,v :maximum number of functional units of type k operating at voltage v S i : as soon as possible time stamp for the operation o i E i :as late as possible time stamp for the operation o i P(i,v,f clk ) : power consumption of operation o i at voltage level v and operating frequency f operating frequency f clk y i,v,l,m : decision variable which takes the value of 1 if operation o i is using the functional unit F k,v and scheduled in control steps l m,v L i,v : latency for operation o i using resource operating at voltage v (in terms of number of clock cycles) 19

20 ILP formulations for MVMC. (i) Objective Function (ii) Uniqueness Constraints (iii) Precedence Constraints (iv) Resource Constraints (v) Peak Power Constraints 20

21 ILP formulations for MVMC. Objective Function : Minimize (P peak ) Uniqueness Constraints : ensure that every operation o i is scheduled to one appropriate control step within the range (S i, E i ) and represented as, i, 1 i O, Σ v Σ {l=s y i (Si+Ei+1-Li v)} i,v,l,(l+l =1 iv-1) 21

22 ILP formulations for MVMC. Precedence Constraints : guarantee that for an operation o i, all its predecessors are scheduled in an earlier control step and its successors are scheduled in an later control step and are; i,j, o i belong to Pred(o j ), Σ v Σ {l=s i Ei}(l+L i,v -1)y i,v,l,(l+li,v-1) - Σ v Σ {l=s j Ej}l y j,v,l,(l+l j,v-1) -1 22

23 ILP formulations for MVMC. Resource Constraints : make sure that no control step contains more than F k,v operations of type k operating at voltage v and are enforced as, Σ {iεf k,v}σσ l y i,v,l,(l+l M i,v-1) k,v Peak Power Constraints : ensure that the maximum power consumption of the DFG does not exceed P peak for any control step and we enforce these constraints t as follows, for all c, 1 c N and for all v, Σ {iεf k,v}σ Σ v y i,v,l,(l+l P(i,v,f i,v-1) clk ) P peak 23

24 Scheduling algorithm Input : (i) unscheduled d DFG (ii) () resource constraints (iii) number of voltage levels (iv) number of frequencies (v) delay of resources Output : scheduled DFG, f base, N, cfi c, power estimates 24

25 Scheduling algorithm. Step 1: Find ASAP schedule of the UDFG. Step 2: Find ALAP schedule of the UDFG Step 3: Determine the mobility graphs for each node. Step 4: Modify the mobility graph for MVMC scheme. Step 5: Calculate operating frequency of afuusing delay model. Step 6: Construct the ILP formulations of the DFG Step 7: Solve the ILP formulations using LP-Solve. Step 8: Obtain the scheduled DFG. Step 9: Determine f c,f base and cfi c for MVDFC scheme. 25

26 Scheduling for MVDFC Example DFG (for RC1) 26

27 Scheduling for MVMC Example DFG (for RC1) 27

28 Experimental results : benchmarks 1. Example circuit it (EXP) (8 nodes, 3*, 3+, 9 edges) 2. FIR filter (11 nodes, 5*, 4+, 19 edges) 3. IIR filter (11 nodes, 5*, 4+, 19 edges) 4. HAL differential equation solver (13 nodes, 6*, 2+, 2-, 1 <, 16 edges) 5. Auto-Regressive filter (ARF) (15 nodes, 5*, 8+, 19 edges ) 28

29 Experimental results : resource constraints Multipliers ALUs 3.3V 5.0V 3.3V 5.0V Serial No RC RC RC RC RC5 29

30 Experimental results : notations P S : the peak power consumption (in mw) for single supply voltage and single frequency operation (SVSF) P DFC : the peak power consumption (in mw) for MVDFC operation P MC : the peak power consumption (in mw) for multiple supply voltages and multicycle operation PDP S : the power delay product (in nj) for SVSF operation PDP DFC : the power delay product (in nj) for MVDFC operation PDP MC : the power delay product (in nj) for MVMC operation P DFC = (P S - P DFC ) /P S * 100 : % peak power reduction for MVDFC P MC =(P S -P MC )/P S * 100 : % peak power reduction for MVMC PDP DFC = (PDP S - PDP DFC )/PDP S * 100 : % PDP reduction for MVDFC PDP MC = (PDP S - PDP MC ) /PDP S * 100 : % PDP reduction for MVMC 30

31 E X P Experimental results : (% reduction) RCs ΔP DFC ΔP MC ΔPDP DFC ΔPDP MC F I R I I R H A L

32 Percentage average reduction Average pe eak power reduc ction (%) > MVDFC 70 MVDFC (a) Different benchmark circuits > Different benchmark circuits > Average e PDP reduction (%) > (b) ion (%) > Average peak power reducti MVMC 25 MVMC (c) Different benchmark circuits > Different benchmark circuits > Average PDP reduction (%) > (d) 32

33 Reductions using different schedulers Benchmark Circuits MVDFC MVMC Shiue[12] Martin[6] Raghunathan[10] (2) FIR (4)HAL (5)ARF

34 Reduction of peak power is essential. Conclusions This paper describes peak power reduction schemes at behavioral level through datapath scheduling. The scheduling schemes use ILP based minimization for MVDFC and MVMC mode of circuit design. For both the modes the scheduler could achieve significant peak power reduction. For some resource constraints there is increase in PDP for MVMC mode design. The scheduling schemes are useful for data intensive applications. The applicability of the scheduling schemes for pipelining is to be investigated. The effect of switching activity is to be taken into account. The detail design of controller is to be done. The effect on clock network is to be studied. 34

Simultaneous Peak and Average Power Minimization during Datapath Scheduling for DSP Processors

Simultaneous Peak and Average Power Minimization during Datapath Scheduling for DSP Processors Simultaneous Peak and Average Power Minimization during Datapath Scheduling for DSP Processors Saraju P. Mohanty,. Ranganathan and Sunil K. Chappidi Department of Computer Science and Engineering anomaterial

More information

A Framework for Energy and Transient Power Reduction during Behavioral Synthesis

A Framework for Energy and Transient Power Reduction during Behavioral Synthesis A Framework for Energy and Transient Power Reduction during Behavioral ynthesis araju P. Mohanty and N. Ranganathan epartment of Computer cience and Engineering Nanomaterial and Nanomanufacturing Research

More information

Optimization using Multiple Supply-Voltages

Optimization using Multiple Supply-Voltages Modified Force-Directed Scheduling for Peak and Average Power Optimization using Multiple Supply-Voltages A. K. Allam and J. Ramanujam Electrical and Computer Engineering Department, Louisiana State University,

More information

Simultaneous Scheduling and Binding for Low Gate Leakage Nano-CMOS Datapath Circuit Behavioral Synthesis

Simultaneous Scheduling and Binding for Low Gate Leakage Nano-CMOS Datapath Circuit Behavioral Synthesis Simultaneous Scheduling and Binding for Low Gate Leakage Nano-CMOS Datapath Circuit Behavioral Synthesis Saraju P. Mohanty Computer Science and Engineering University of North Texas, Denton, TX 7623. Email:

More information

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective S. P. Mohanty, R. Velagapudi and E. Kougianos Dept of Computer Science and Engineering University of North Texas

More information

SCHEDULING Giovanni De Micheli Stanford University

SCHEDULING Giovanni De Micheli Stanford University SCHEDULING Giovanni De Micheli Stanford University Outline The scheduling problem. Scheduling without constraints. Scheduling under timing constraints. Relative scheduling. Scheduling under resource constraints.

More information

Energy and transient power minimization during behavioral synthesis

Energy and transient power minimization during behavioral synthesis University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 200 Energy and transient power minimization during behavioral synthesis Saraju P. Mohanty University of South

More information

Exploiting Regularity for Low-Power Design

Exploiting Regularity for Low-Power Design Reprint from Proceedings of the International Conference on Computer-Aided Design, 996 Exploiting Regularity for Low-Power Design Renu Mehra and Jan Rabaey Department of Electrical Engineering and Computer

More information

High-Level Synthesis

High-Level Synthesis Lecture 5: Nano-CMOS High-Level Synthesis CSCE 6730 Advanced VLSI Systems Instructor: Saraju P. Mohanty, Ph. D. NOTE: The figures, text etc included in slides are borrowed from various books, websites,

More information

Optimal Module and Voltage Assignment for Low-Power

Optimal Module and Voltage Assignment for Low-Power Optimal Module and Voltage Assignment for Low-Power Deming Chen +, Jason Cong +, Junjuan Xu *+ + Computer Science Department, University of California, Los Angeles, USA * Computer Science and Technology

More information

Low-Power High-Level Synthesis for Nanoscale CMOS Circuits

Low-Power High-Level Synthesis for Nanoscale CMOS Circuits Low-Power High-Level Synthesis for Nanoscale CMOS Circuits Saraju P. Mohanty Nagarajan Ranganathan Elias Kougianos Priyadarsan Patra Low-Power High-Level Synthesis for Nanoscale CMOS Circuits 123 Saraju

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

THIS brief addresses the problem of hardware synthesis

THIS brief addresses the problem of hardware synthesis IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 5, MAY 2006 339 Optimal Combined Word-Length Allocation and Architectural Synthesis of Digital Signal Processing Circuits Gabriel

More information

Power-conscious High Level Synthesis Using Loop Folding

Power-conscious High Level Synthesis Using Loop Folding Power-conscious High Level Synthesis Using Loop Folding Daehong Kim Kiyoung Choi School of Electrical Engineering Seoul National University, Seoul, Korea, 151-742 E-mail: daehong@poppy.snu.ac.kr Abstract

More information

Algorithmic Transformations and Peak Power Constraint Applied to Multiple-Voltage Low-Power VLSI Signal Processing

Algorithmic Transformations and Peak Power Constraint Applied to Multiple-Voltage Low-Power VLSI Signal Processing Algorithmic Transformations and Peak Power Constraint Applied to Multiple-Voltage ow-power VSI Signal Processing epartment of Electrical and Control Engineering National Chiao-Tung University, sinchu,

More information

Lecture 7: Components of Phase Locked Loop (PLL)

Lecture 7: Components of Phase Locked Loop (PLL) Lecture 7: Components of Phase Locked Loop (PLL) CSCE 6933/5933 Instructor: Saraju P. Mohanty, Ph. D. NOTE: The figures, text etc included in slides are borrowed from various books, websites, authors pages,

More information

EE382V-ICS: System-on-a-Chip (SoC) Design

EE382V-ICS: System-on-a-Chip (SoC) Design EE38V-CS: System-on-a-Chip (SoC) Design Hardware Synthesis and Architectures Source: D. Gajski, S. Abdi, A. Gerstlauer, G. Schirner, Embedded System Design: Modeling, Synthesis, Verification, Chapter 6:

More information

An Efficient Design of Parallel Pipelined FFT Architecture

An Efficient Design of Parallel Pipelined FFT Architecture www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 3, Issue 10 October, 2014 Page No. 8926-8931 An Efficient Design of Parallel Pipelined FFT Architecture Serin

More information

IMPLEMENTATION OF NETWORK RECONFIGURATION TECHNIQUE FOR LOSS MINIMIZATION ON A 11KV DISTRIBUTION SYSTEM OF MRS SHIMOGA-A CASE STUDY

IMPLEMENTATION OF NETWORK RECONFIGURATION TECHNIQUE FOR LOSS MINIMIZATION ON A 11KV DISTRIBUTION SYSTEM OF MRS SHIMOGA-A CASE STUDY IMPLEMENTATION OF NETWORK RECONFIGURATION TECHNIQUE FOR LOSS MINIMIZATION ON A 11KV DISTRIBUTION SYSTEM OF MRS SHIMOGA-A CASE STUDY PROJECT REFERENCE NO. : 37S0848 COLLEGE : PES INSTITUTE OF TECHNOLOGY

More information

ISSN:

ISSN: 1061 Area Leakage Power and delay Optimization BY Switched High V TH Logic UDAY PANWAR 1, KAVITA KHARE 2 12 Department of Electronics and Communication Engineering, MANIT, Bhopal 1 panwaruday1@gmail.com,

More information

Data Word Length Reduction for Low-Power DSP Software

Data Word Length Reduction for Low-Power DSP Software EE382C: LITERATURE SURVEY, APRIL 2, 2004 1 Data Word Length Reduction for Low-Power DSP Software Kyungtae Han Abstract The increasing demand for portable computing accelerates the study of minimizing power

More information

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR Janusz A. Starzyk and Ying-Wei Jan Electrical Engineering and Computer Science, Ohio University, Athens Ohio, 45701 A designated contact person Prof.

More information

ECE473 Computer Architecture and Organization. Pipeline: Introduction

ECE473 Computer Architecture and Organization. Pipeline: Introduction Computer Architecture and Organization Pipeline: Introduction Lecturer: Prof. Yifeng Zhu Fall, 2015 Portions of these slides are derived from: Dave Patterson UCB Lec 11.1 The Laundry Analogy Student A,

More information

Greedy algorithms for time frequency allocation in in a SDMA satellite communications system. Erwan CORBEL (Thales)

Greedy algorithms for time frequency allocation in in a SDMA satellite communications system. Erwan CORBEL (Thales) Greedy algorithms for time frequency allocation in in a SDMA satellite communications system Kata KIATMANAROJ, Christian ARTIGUES, Laurent HOUSSIN (LAAS), Erwan CORBEL (Thales) 1 Contents Problem definition

More information

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations Sno Projects List IEEE 1 High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations 2 A Generalized Algorithm And Reconfigurable Architecture For Efficient And Scalable

More information

b(n) a(n) y(n) + + x(n) (a) y(n) x(n) (b) b(2k) a(2k) y(2k) + + x(2k) b(2k+1) a(2k+1) y(2k+1) + + x(2k+1) (c)

b(n) a(n) y(n) + + x(n) (a) y(n) x(n) (b) b(2k) a(2k) y(2k) + + x(2k) b(2k+1) a(2k+1) y(2k+1) + + x(2k+1) (c) S-38.220 Postgraduate Course on Signal Processing in Communications, FALL-99 Pipelining and Parallel Processing Carl Eklund Nokia Research Center P.O. Bo 407 FIN-00045 Nokia Group E-Mail: carl.eklund@nokia.com

More information

Optimal Simultaneous Module and Multivoltage Assignment for Low Power

Optimal Simultaneous Module and Multivoltage Assignment for Low Power Optimal Simultaneous Module and Multivoltage Assignment for Low Power DEMING CHEN University of Illinois, Urbana-Champaign JASON CONG University of California, Los Angeles and JUNJUAN XU Synopsys, Inc.

More information

The Comparative Study of FPGA based FIR Filter Design Using Optimized Convolution Method and Overlap Save Method

The Comparative Study of FPGA based FIR Filter Design Using Optimized Convolution Method and Overlap Save Method International Journal of Recent Technology and Engineering (IJRTE) ISSN: 2277-3878, Volume-3, Issue-1, March 2014 The Comparative Study of FPGA based FIR Filter Design Using Optimized Convolution Method

More information

Energy Efficient Scheduling Techniques For Real-Time Embedded Systems

Energy Efficient Scheduling Techniques For Real-Time Embedded Systems Energy Efficient Scheduling Techniques For Real-Time Embedded Systems Rabi Mahapatra & Wei Zhao This work was done by Rajesh Prathipati as part of his MS Thesis here. The work has been update by Subrata

More information

Reduced Redundant Arithmetic Applied on Low Power Multiply-Accumulate Units

Reduced Redundant Arithmetic Applied on Low Power Multiply-Accumulate Units Reduced Redundant Arithmetic Applied on Low Power Multiply-Accumulate Units DAVID NEUHÄUSER Friedrich Schiller University Department of Computer Science D-7737 Jena GERMANY david.neuhaeuser@uni-jena.de

More information

Physical Synthesis of Bus Matrix for High Bandwidth Low Power On-chip Communications

Physical Synthesis of Bus Matrix for High Bandwidth Low Power On-chip Communications Physical Synthesis of Bus Matrix for High Bandwidth Low Power On-chip Communications Renshen Wang 1, Evangeline Young 2, Ronald Graham 1 and Chung-Kuan Cheng 1 1 University of California San Diego 2 The

More information

Asynchronous vs. Synchronous Design of RSA

Asynchronous vs. Synchronous Design of RSA vs. Synchronous Design of RSA A. Rezaeinia, V. Fatemi, H. Pedram,. Sadeghian, M. Naderi Computer Engineering Department, Amirkabir University of Technology, Tehran, Iran {rezainia,fatemi,pedram,naderi}@ce.aut.ac.ir

More information

Wallace and Dadda Multipliers. Implemented Using Carry Lookahead. Adders

Wallace and Dadda Multipliers. Implemented Using Carry Lookahead. Adders The report committee for Wesley Donald Chu Certifies that this is the approved version of the following report: Wallace and Dadda Multipliers Implemented Using Carry Lookahead Adders APPROVED BY SUPERVISING

More information

Lecture Topics. Announcements. Today: Pipelined Processors (P&H ) Next: continued. Milestone #4 (due 2/23) Milestone #5 (due 3/2)

Lecture Topics. Announcements. Today: Pipelined Processors (P&H ) Next: continued. Milestone #4 (due 2/23) Milestone #5 (due 3/2) Lecture Topics Today: Pipelined Processors (P&H 4.5-4.10) Next: continued 1 Announcements Milestone #4 (due 2/23) Milestone #5 (due 3/2) 2 1 ISA Implementations Three different strategies: single-cycle

More information

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors

An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors An Optimized Wallace Tree Multiplier using Parallel Prefix Han-Carlson Adder for DSP Processors T.N.Priyatharshne Prof. L. Raja, M.E, (Ph.D) A. Vinodhini ME VLSI DESIGN Professor, ECE DEPT ME VLSI DESIGN

More information

Simultaneous Clock Skew Scheduling and Power-Gated Module Selection for Standby Leakage Minimization *

Simultaneous Clock Skew Scheduling and Power-Gated Module Selection for Standby Leakage Minimization * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 25, 1707-1722 (2009) Simultaneous Clock Skew Scheduling and Power-Gated Module Selection for Standby Leakage Minimization * Department of Electronic Engineering

More information

Class Project: Low power Design of Electronic Circuits (ELEC 6970) 1

Class Project: Low power Design of Electronic Circuits (ELEC 6970) 1 Power Minimization using Voltage reduction and Parallel Processing Sudheer Vemula Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL. Goal of the project:- To reduce the power consumed

More information

Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction

Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction Blockage and Voltage Island-Aware Dual-VDD Buffered Tree Construction Bruce Tseng Faraday Technology Cor. Hsinchu, Taiwan Hung-Ming Chen Dept of EE National Chiao Tung U. Hsinchu, Taiwan April 14, 2008

More information

A Low Power and High Speed Viterbi Decoder Based on Deep Pipelined, Clock Blocking and Hazards Filtering

A Low Power and High Speed Viterbi Decoder Based on Deep Pipelined, Clock Blocking and Hazards Filtering Int. J. Communications, Network and System Sciences, 2009, 6, 575-582 doi:10.4236/ijcns.2009.26064 Published Online September 2009 (http://www.scirp.org/journal/ijcns/). 575 A Low Power and High Speed

More information

EMBEDDED computing systems need to be energy efficient,

EMBEDDED computing systems need to be energy efficient, 262 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 15, NO. 3, MARCH 2007 Energy Optimization of Multiprocessor Systems on Chip by Voltage Selection Alexandru Andrei, Student Member,

More information

A HIGH SPEED FFT/IFFT PROCESSOR FOR MIMO OFDM SYSTEMS

A HIGH SPEED FFT/IFFT PROCESSOR FOR MIMO OFDM SYSTEMS A HIGH SPEED FFT/IFFT PROCESSOR FOR MIMO OFDM SYSTEMS Ms. P. P. Neethu Raj PG Scholar, Electronics and Communication Engineering, Vivekanadha College of Engineering for Women, Tiruchengode, Tamilnadu,

More information

CCO Commun. Comb. Optim.

CCO Commun. Comb. Optim. Communications in Combinatorics and Optimization Vol. 2 No. 2, 2017 pp.149-159 DOI: 10.22049/CCO.2017.25918.1055 CCO Commun. Comb. Optim. Graceful labelings of the generalized Petersen graphs Zehui Shao

More information

Architecture and Synthesis for Multi-Cycle On-Chip Communication

Architecture and Synthesis for Multi-Cycle On-Chip Communication Architecture and Synthesis for MultiCycle OnChip Communication Jason Cong VLSI CAD Lab Computer Science Department University of California, Los Angeles cong@cs cs.ucla.edu http://cadlab cadlab.cs.ucla.edu

More information

ESE535: Electronic Design Automation. Previously. Today. Precedence. Conclude. Precedence Constrained

ESE535: Electronic Design Automation. Previously. Today. Precedence. Conclude. Precedence Constrained ESE535: Electronic Design Automation Day 5: January, 013 Scheduling Variants and Approaches Penn ESE535 Spring 013 -- DeHon 1 Previously Resources aren t free Share to reduce costs Schedule operations

More information

Gateways Placement in Backbone Wireless Mesh Networks

Gateways Placement in Backbone Wireless Mesh Networks I. J. Communications, Network and System Sciences, 2009, 1, 1-89 Published Online February 2009 in SciRes (http://www.scirp.org/journal/ijcns/). Gateways Placement in Backbone Wireless Mesh Networks Abstract

More information

CMOS ADC & DAC Principles

CMOS ADC & DAC Principles CMOS ADC & DAC Principles Willy Sansen KULeuven, ESAT-MICAS Leuven, Belgium willy.sansen@esat.kuleuven.be Willy Sansen 10-05 201 Table of contents Definitions Digital-to-analog converters Resistive Capacitive

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information

Genetic Algorithm Based Charge Optimization of Lithium-Ion Batteries in Small Satellites. Saurabh Jain Dan Simon

Genetic Algorithm Based Charge Optimization of Lithium-Ion Batteries in Small Satellites. Saurabh Jain Dan Simon Genetic Algorithm Based Charge Optimization of Lithium-Ion Batteries in Small Satellites Saurabh Jain Dan Simon Outline Problem Identification Solution approaches Our strategy Problem representation Modified

More information

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Design of Fir Filter Using Area and Power Efficient Truncated Multiplier R.Ambika *1, S.Siva Ranjani 2 *1 Assistant Professor,

More information

Optimized FIR filter design using Truncated Multiplier Technique

Optimized FIR filter design using Truncated Multiplier Technique International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Optimized FIR filter design using Truncated Multiplier Technique V. Bindhya 1, R. Guru Deepthi 2, S. Tamilselvi 3, Dr. C. N. Marimuthu

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

Real-Time Task Scheduling for a Variable Voltage Processor

Real-Time Task Scheduling for a Variable Voltage Processor Real-Time Task Scheduling for a Variable Voltage Processor Takanori Okuma Tohru Ishihara Hiroto Yasuura Department of Computer Science and Communication Engineering Graduate School of Information Science

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

Tirupur, Tamilnadu, India 1 2

Tirupur, Tamilnadu, India 1 2 986 Efficient Truncated Multiplier Design for FIR Filter S.PRIYADHARSHINI 1, L.RAJA 2 1,2 Departmentof Electronics and Communication Engineering, Angel College of Engineering and Technology, Tirupur, Tamilnadu,

More information

The Evolution of Waveform Relaxation for Circuit and Electromagnetic Solvers

The Evolution of Waveform Relaxation for Circuit and Electromagnetic Solvers The Evolution of Waveform Relaxation for Circuit and Electromagnetic Solvers Albert Ruehli, Missouri S&T EMC Laboratory, University of Science & Technology, Rolla, MO with contributions by Giulio Antonini,

More information

Area Efficient and Low Power Reconfiurable Fir Filter

Area Efficient and Low Power Reconfiurable Fir Filter 50 Area Efficient and Low Power Reconfiurable Fir Filter A. UMASANKAR N.VASUDEVAN N.Kirubanandasarathy Research scholar St.peter s university, ECE, Chennai- 600054, INDIA Dean (Engineering and Technology),

More information

Integrated Microsystems Laboratory. Franco Maloberti

Integrated Microsystems Laboratory. Franco Maloberti University of Pavia Integrated Microsystems Laboratory Power Efficient Data Convertes Franco Maloberti franco.maloberti@unipv.it OUTLINE Introduction Managing the noise power budget Challenges of State-of-the-art

More information

DIGITAL SIGNAL PROCESSING WITH VHDL

DIGITAL SIGNAL PROCESSING WITH VHDL DIGITAL SIGNAL PROCESSING WITH VHDL GET HANDS-ON FROM THEORY TO PRACTICE IN 6 DAYS MODEL WITH SCILAB, BUILD WITH VHDL NUMEROUS MODELLING & SIMULATIONS DIRECTLY DESIGN DSP HARDWARE Brought to you by: Copyright(c)

More information

Optimal Test Scheduling Formulation under Power Constraints with Dynamic Voltage and Frequency Scaling

Optimal Test Scheduling Formulation under Power Constraints with Dynamic Voltage and Frequency Scaling Manuscript - Main file Click here to download Manuscript: JETTA.tex Click here to view linked References 0 0 0 0 0 Optimal Test Scheduling Formulation under Power Constraints with Dynamic Voltage and Frequency

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 13 Building Blocks (Multipliers) Register Adder Shift Register Adib Abrishamifar EE Department IUST Acknowledgement This lecture note has been summarized and categorized

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

A Low Power Small Area Multi-bit Quantizer with A Capacitor String in Sigma-Delta Modulator

A Low Power Small Area Multi-bit Quantizer with A Capacitor String in Sigma-Delta Modulator A Low Power Small Area Multi-bit uantizer with A Capacitor String in Sigma-Delta Modulator Xuia Wang, Jian Xu, and Xiaobo Wu Abstract An ultra-low power area-efficient fully differential multi-bit quantizer

More information

DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION

DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION Diary R. Suleiman Muhammed A. Ibrahim Ibrahim I. Hamarash e-mail: diariy@engineer.com e-mail: ibrahimm@itu.edu.tr

More information

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Cao Cao and Bengt Oelmann Department of Information Technology and Media, Mid-Sweden University S-851 70 Sundsvall, Sweden {cao.cao@mh.se}

More information

CS 110 Computer Architecture Lecture 11: Pipelining

CS 110 Computer Architecture Lecture 11: Pipelining CS 110 Computer Architecture Lecture 11: Pipelining Instructor: Sören Schwertfeger http://shtech.org/courses/ca/ School of Information Science and Technology SIST ShanghaiTech University Slides based on

More information

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS Neeta Pandey 1, Kirti Gupta 2, Stuti Gupta 1, Suman Kumari 1 1 Dept. of Electronics and Communication, Delhi Technological University, New Delhi (India) 2

More information

A Successive Approximation ADC based on a new Segmented DAC

A Successive Approximation ADC based on a new Segmented DAC A Successive Approximation ADC based on a new Segmented DAC segmented current-mode DAC successive approximation ADC bi-direction segmented current-mode DAC DAC INL 0.47 LSB DNL 0.154 LSB DAC 3V 8 2MS/s

More information

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit Available online www.ejaet.com European Journal of Advances in Engineering and Technology, 2017, 4 (5): 319-325 Research Article ISSN: 2394-658X Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

More information

Design and Performance Analysis of a Reconfigurable Fir Filter

Design and Performance Analysis of a Reconfigurable Fir Filter Design and Performance Analysis of a Reconfigurable Fir Filter S.karthick Department of ECE Bannari Amman Institute of Technology Sathyamangalam INDIA Dr.s.valarmathy Department of ECE Bannari Amman Institute

More information

A Student Scheduling System for Federal Law Enforcement Training Centers (FLETC)

A Student Scheduling System for Federal Law Enforcement Training Centers (FLETC) A Student Scheduling System for Federal Law Enforcement Training Centers (FLETC) Frederik Fiand FrederikFiand@googlemail.com Diploma Thesis at the Institute for Mathematical Optimization, TU Braunschweig

More information

REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO

REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO ENVIRONMENTS FOR 4G LTE SYSTEMS Dr. R. Shantha Selva Kumari 1 and M. Aarti Meena 2 1 Department of Electronics and Communication Engineering,

More information

High-Performance Pipelined Architecture of Elliptic Curve Scalar Multiplication Over GF(2 m )

High-Performance Pipelined Architecture of Elliptic Curve Scalar Multiplication Over GF(2 m ) High-Performance Pipelined Architecture of Elliptic Curve Scalar Multiplication Over GF(2 m ) Abstract: This paper proposes an efficient pipelined architecture of elliptic curve scalar multiplication (ECSM)

More information

DESIGN OF MULTIPLE CONSTANT MULTIPLICATION ALGORITHM FOR FIR FILTER

DESIGN OF MULTIPLE CONSTANT MULTIPLICATION ALGORITHM FOR FIR FILTER Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 3, March 2014,

More information

Methods for Reducing the Activity Switching Factor

Methods for Reducing the Activity Switching Factor International Journal of Engineering Research and Development e-issn: 2278-67X, p-issn: 2278-8X, www.ijerd.com Volume, Issue 3 (March 25), PP.7-25 Antony Johnson Chenginimattom, Don P John M.Tech Student,

More information

Design of Low Power High Speed Adders in McCMOS Technique

Design of Low Power High Speed Adders in McCMOS Technique Design of Low High Speed Adders in McCMOS Technique Shikha Sharma 1, Rajesh Bathija 2, RS. Meena 3, Akanksha Goswami 4 P.G. Student, Department of EC Engineering, Geetanjali Institute of Technical Studies,

More information

A Location-Aware Routing Metric (ALARM) for Multi-Hop, Multi-Channel Wireless Mesh Networks

A Location-Aware Routing Metric (ALARM) for Multi-Hop, Multi-Channel Wireless Mesh Networks A Location-Aware Routing Metric (ALARM) for Multi-Hop, Multi-Channel Wireless Mesh Networks Eiman Alotaibi, Sumit Roy Dept. of Electrical Engineering U. Washington Box 352500 Seattle, WA 98195 eman76,roy@ee.washington.edu

More information

Design and Implementation of Truncated Multipliers for Precision Improvement and Its Application to a Filter Structure

Design and Implementation of Truncated Multipliers for Precision Improvement and Its Application to a Filter Structure Vol. 2, Issue. 6, Nov.-Dec. 2012 pp-4736-4742 ISSN: 2249-6645 Design and Implementation of Truncated Multipliers for Precision Improvement and Its Application to a Filter Structure R. Devarani, 1 Mr. C.S.

More information

Design and Simulation of Convolution Using Booth Encoded Wallace Tree Multiplier

Design and Simulation of Convolution Using Booth Encoded Wallace Tree Multiplier IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. PP 42-46 www.iosrjournals.org Design and Simulation of Convolution Using Booth Encoded Wallace

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

Design of Analog Integrated Systems (ECE 615) Outline

Design of Analog Integrated Systems (ECE 615) Outline Design of Analog Integrated Systems (ECE 615) Lecture 9 SAR and Cyclic (Algorithmic) Analog-to-Digital Converters Ayman H. Ismail Integrated Circuits Laboratory Ain Shams University Cairo, Egypt ayman.hassan@eng.asu.edu.eg

More information

Design and Implementation of Efficient Carry Select Adder using Novel Logic Algorithm

Design and Implementation of Efficient Carry Select Adder using Novel Logic Algorithm 289 Design and Implementation of Efficient Carry Select Adder using Novel Logic Algorithm V. Thamizharasi Senior Grade Lecturer, Department of ECE, Government Polytechnic College, Trichy, India Abstract:

More information

Design and Implementation of High Speed Carry Select Adder

Design and Implementation of High Speed Carry Select Adder Design and Implementation of High Speed Carry Select Adder P.Prashanti Digital Systems Engineering (M.E) ECE Department University College of Engineering Osmania University, Hyderabad, Andhra Pradesh -500

More information

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Towards PVT-Tolerant Glitch-Free Operation in FPGAs Towards PVT-Tolerant Glitch-Free Operation in FPGAs Safeen Huda and Jason H. Anderson ECE Department, University of Toronto, Canada 24 th ACM/SIGDA International Symposium on FPGAs February 22, 2016 Motivation

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

Empirical Rate-Distortion Study of Compressive Sensing-based Joint Source-Channel Coding

Empirical Rate-Distortion Study of Compressive Sensing-based Joint Source-Channel Coding Empirical -Distortion Study of Compressive Sensing-based Joint Source-Channel Coding Muriel L. Rambeloarison, Soheil Feizi, Georgios Angelopoulos, and Muriel Médard Research Laboratory of Electronics Massachusetts

More information

A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications

A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications A low-variation on-resistance CMOS sampling switch for high-speed high-performance applications MohammadReza Asgari 1 and Omid Hashemipour 2a) 1 Microelectronic Lab, Shahid Beheshti University, G. C. Tehran,

More information

An Design of Radix-4 Modified Booth Encoded Multiplier and Optimised Carry Select Adder Design for Efficient Area and Delay

An Design of Radix-4 Modified Booth Encoded Multiplier and Optimised Carry Select Adder Design for Efficient Area and Delay An Design of Radix-4 Modified Booth Encoded Multiplier and Optimised Carry Select Adder Design for Efficient Area and Delay 1. K. Nivetha, PG Scholar, Dept of ECE, Nandha Engineering College, Erode. 2.

More information

Incorporation of Self-Commutating CSC Transmission in Power System Load-Flow

Incorporation of Self-Commutating CSC Transmission in Power System Load-Flow Queensland University of Technology From the SelectedWorks of Lasantha Bernard Perera Spring September 25, 2005 Incorporation of Self-Commutating CSC Transmission in Power System Load-Flow Lasantha B Perera,

More information

The Metrics and Designs of an Arithmetic Logic Function over

The Metrics and Designs of an Arithmetic Logic Function over The Metrics and Designs of an Arithmetic Logic Function over 2002-2015 Jimmy Vallejo Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 32816-2362 Abstract There

More information

Department of Electronic Engineering FINAL YEAR PROJECT REPORT

Department of Electronic Engineering FINAL YEAR PROJECT REPORT Department of Electronic Engineering FINAL YEAR PROJECT REPORT BEngECE-2009/10-- Student Name: CHEUNG Yik Juen Student ID: Supervisor: Prof.

More information

JDT EFFECTIVE METHOD FOR IMPLEMENTATION OF WALLACE TREE MULTIPLIER USING FAST ADDERS

JDT EFFECTIVE METHOD FOR IMPLEMENTATION OF WALLACE TREE MULTIPLIER USING FAST ADDERS JDT-002-2013 EFFECTIVE METHOD FOR IMPLEMENTATION OF WALLACE TREE MULTIPLIER USING FAST ADDERS E. Prakash 1, R. Raju 2, Dr.R. Varatharajan 3 1 PG Student, Department of Electronics and Communication Engineeering

More information

IJMIE Volume 2, Issue 5 ISSN:

IJMIE Volume 2, Issue 5 ISSN: Systematic Design of High-Speed and Low- Power Digit-Serial Multipliers VLSI Based Ms.P.J.Tayade* Dr. Prof. A.A.Gurjar** Abstract: Terms of both latency and power Digit-serial implementation styles are

More information

A 32 Gbps 2048-bit 10GBASE-T Ethernet Energy Efficient LDPC Decoder with Split-Row Threshold Decoding Method

A 32 Gbps 2048-bit 10GBASE-T Ethernet Energy Efficient LDPC Decoder with Split-Row Threshold Decoding Method A 32 Gbps 248-bit GBASE-T Ethernet Energy Efficient LDPC Decoder with Split-Row Threshold Decoding Method Tinoosh Mohsenin and Bevan M. Baas VLSI Computation Lab, ECE Department University of California,

More information

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique James Lin, Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Laḃ

More information

Low Power 3-2 and 4-2 Adder Compressors Implemented Using ASTRAN

Low Power 3-2 and 4-2 Adder Compressors Implemented Using ASTRAN XXVII SIM - South Symposium on Microelectronics 1 Low Power 3-2 and 4-2 Adder Compressors Implemented Using ASTRAN Jorge Tonfat, Ricardo Reis jorgetonfat@ieee.org, reis@inf.ufrgs.br Grupo de Microeletrônica

More information

Minimization of Dynamic and Static Power Through Joint Assignment of Threshold Voltages and Sizing Optimization

Minimization of Dynamic and Static Power Through Joint Assignment of Threshold Voltages and Sizing Optimization Minimization of Dynamic and Static Power Through Joint Assignment of Threshold Voltages and Sizing Optimization David Nguyen, Abhijit Davare, Michael Orshansky, David Chinnery, Brandon Thompson, and Kurt

More information

High Speed Vedic Multiplier Designs Using Novel Carry Select Adder

High Speed Vedic Multiplier Designs Using Novel Carry Select Adder High Speed Vedic Multiplier Designs Using Novel Carry Select Adder 1 chintakrindi Saikumar & 2 sk.sahir 1 (M.Tech) VLSI, Dept. of ECE Priyadarshini Institute of Technology & Management 2 Associate Professor,

More information

Energy-Balanced Task Allocation for Collaborative Processing in Wireless Sensor Networks

Energy-Balanced Task Allocation for Collaborative Processing in Wireless Sensor Networks 1 Energy-Balanced Task Allocation for Collaborative Processing in Wireless Sensor Networks Yang Yu and Viktor K. Prasanna Department of Electrical Engineering University of Southern California Los Angeles,

More information