SUPPRESSION OF ELECTROMAGNETIC INTERFERENCE BY SPREAD SPECTRUM CLOCK GENERATION

Size: px
Start display at page:

Download "SUPPRESSION OF ELECTROMAGNETIC INTERFERENCE BY SPREAD SPECTRUM CLOCK GENERATION"

Transcription

1 SUPPRESSION OF ELECTROMAGNETIC INTERFERENCE BY SPREAD SPECTRUM CLOCK GENERATION Dr.Srigitha.S.Nath 1, Ms.S.Umamahesawri 2, Ms.S.Chithra 3, Ms.K.Anitha 4 1 Professor &Head, Department of ECE, Saveetha Engineering College, Chennai, India, hod.ece@saveetha.ac.in 2. Assistant Professor, Department of ECE, Saveetha Engineering College, Chennai, India, umamaheswari@saveetha ac.in 3 Assistant Professor, Department of ECE, Saveetha Engineering College, Chennai, India, chithra@saveetha ac.in 4 Assistant Professor, Department of ECE, Saveetha Engineering College, Chennai, India, anitha@saveetha ac.in Abstract A clock is a specific type of signal that can oscillate between a high and a low state. When the operating frequency and data rate is increased, the clock oscillation increases. When the clock signal operates at high speed, it makes the digital circuits to emit radiation that may interfere with nearby circuits that leads to electromagnetic interference. The electromagnetic interference appear as sharp spectral peaks with high intensities. The amount of radiation from synchronous digital circuits can be reduced by using spread spectrum clock generator. This paper presents digital spread spectrum clock generator methods, where the system clock is modulated using saw tooth modulation technique. The saw tooth modulation is more effective since most of the problems faced while designing digital circuit is related to the clock signals, which is a major contributor of electromagnetic interference. A comparison is made between triangular modulation and saw tooth modulation in terms of power consumption and efficiency. Keywords spread spectrum; electromagnetic interference; sawtooth modulation. I. INTRODUCTION Today all applications are digitally controlled. Implementation of digital systems is ruled by logic of the devices. Digital devices have become dense with respect to signal speed specifically with clock synchronization, setup and hold time and jitter. These inconveniences not only affect the system but also leads to electromagnetic interferences (EMI) when the device is operating at high frequency in close contact. Electromagnetic interference frequently known as radio-frequency interference is mainly the disturbance caused by radio frequency that affects an electrical circuit due to radiated electromagnetic waves emitted from any kind of external source. Such unwanted radiations disrupt and corrupt the actual performance of digital devices. The main issue that has to be addressed in the design of digital devices is to maintain the electromagnetic radiations within the permissible levels. Most of the problems faced while designing a digital system relates to the clock of the system. The clock signal is the highest frequency signal that acts as the primary source of EMI. Moreover, as requirements for speed increases, the radiations emitted from the digital devices will contain higher electromagnetic energy. The radiation levels of the devices are maintained by numerous regulatory agencies across the world that verify the various EMI standards. The agencies certify that any digital devices do not interfere with the functioning of other devices by emitting harmful radiations. These agencies set the limit on the maximum allowed radiation emission according to the countries requirements. Compliance test on the devices are performed to ensure that frequency violation does not occur. II. SPREAD SPECTRUM CLOCK GENERATION In this kind of clock generation, a particular bandwidth signal is varied and spread in frequency domain. The signal will be spread out over a wider bandwidth that causes a reduction in the peak radiated emission. In spread spectrum clock generation, the transform at logical levels occurs concurrently for synchronized digital devices. Such transitions at the logical level of transform of a particular signal is called as the clock. Prediction of circuit behaviour can be made exactly since the input of each of the storage elements will reach its terminal value before the occurrence of the next clock pulse. A digital system is opted for high speed and accuracy and for a synchronized digital system to operate at a very high speed there is a need for delay at each level of logical operation. Care is taken while designing the clock distribution networks in order for these circuits work correctly. Static timing analysis is often used to find the maximum safe operating speed. Fig. 1. Spread Spectrum Clock 815

2 III. PROPOSED SYSTEM The All-Digital Spread Spectrum Clock Generation (ADSSCG) is depicted in Fig.2. The ADSSCG comprises of a spreadspectrum clock (SSC) controller, phase and frequency detector (PFD), a spreading ratio detector, a digital loop filter, a Sawtooth Modulator, a Digital control oscillator, and a divide by 16 block. The modulation used in ADSSCG is sawtooth modulation. Fig.2. Concept of all digital spread spectrum clock generation A. Spread Spectrum Controller It is possible to spread the spectrum of a digital clock signal by various methods such as Clock Scrambling and Clock Modulation. In clock scrambling also known as suppressed carrier clocking, the clock signal is directly mixed with the modulation signal using XOR gate. One of the common methods to perform clock signal conditioning involves the modulation of the clock frequency with the waveform in order to spread its energy over a wider band of frequency. Such a method of suppressed carrier clocking does not introduce jitter and the attenuation of the fundamental frequency is slightly greater than 10dB. If the modulation is performed on the transition period of the clock, the transition of these suppressed clock will be lost. This leads to increase in computation time in synchronous digital devices. This problem can be reduced in clock distribution network, where demodulation of scrambled signal is performed but this results to additional design of the demodulation circuitry. In the clock distribution network, the signal has suppressed EMI whereas at the clock frequencies and its harmonics the signal in the rest of the chip emits radiation. It is possible to obtain a spread spectrum clock signal in clock modulation method in two different ways. The first method is modulating the clock s frequency completely. Conventionally, the input voltage of digitally controlled oscillator is guarded by a modulation waveform. The suppressed carrier clock in time domain is represented in fig. 3. Fig.3. Waveform of suppressed carrier clock in time domain This spread spectrum clock generation that supresses the EMI can be achieved using various modulation techniques namely, Delta sigma Modulation which is efficient but cost of implementation remains high, Triangular modulation, where the problem of jitter exists and Saw tooth modulation, which has lower cost of implementation and overcomes the problem of jitter. B. Spreading Ratio Detector 816

3 The reduction in EMI depends on spread amount of the clock, more the spread more interference is reduced. The amount of clock spreading is commonly done in terms of percentage. The spread amount is defined as the ratio of difference between the two boundary frequencies (Δf) to the clock target frequency. Fig.4. shows the EMI reduction with increasing spread amount. Fig.4. EMI reductions with increasing spread amount In synchronous digital devices, the harmonics of the fundamental frequency is a main concern as it is a main source of radiation. The reduction in electromagnetic interference is achieved by attenuating the radiations from the harmonic frequency. Due to fixed amount of spread, these harmonic frequencies will have their attenuation of peak energy more prominent at higher order and less attenuation at the fundamental frequency. This mainly occurs at higher frequencies where the narrow band becomes wider and also since the harmonics are an integer multiplication of the centre frequency. When implemented in such a way, there will be more reduction in the amount of radiated energy as depicted by Fig. 5. Fig.5. EMI reduction vs. Harmonics The amount of reduction in the shape of the spectral peak is decided by the spreading profile, which is the main factor in the frequency variations of modulated signal with respect to time, when spread spectrum technique is applied. C. Estimation of EMI Reduction Level using Spread Spectrum Clocking The parameters of a spread-spectrum clock are responsible for how much the interference is suppressed. To determine the amount of suppression, calculation of spread spectrum clock is necessary. The signal spectrum can be defined as the power density in terms of frequency. The clock and spreading clock are represented as, A sin 2πfct = A sin 2π(fc+w(t)) t (1) where w(t) is the waveform for modulation. The spectrum of the non-spreading clock is a line of spectra at frequency fc and has a magnitude value of 1/2A 2. In a spectrum the amplitude does not depend on the resolution bandwidth B since the spectrum is represented as a spectral line but on the resolution bandwidth B. Within the range of the frequency band the spreading clock spread in terms of Δf, which is the power measured in the spectrum analyzer at the resolution band B. The resolution band B is given by B = 12 A 2 B/Δf (2) Hence the EMI reduction rate S is represented as: S=10 log ( Δf /B ) (3) 817

4 The suppression reduction rate S is represented in terms of spreading rate δ, clock(clk) frequency fc, and modulation styles in the following ways: 1. Down or up spreading: 2. Centre spreading: S=10 log (( δ *fc)/b) (4) S=10 log ((2 δ *fc)/b) (5) This simple estimate provides the designer, the spreading rate of the EMI reduction. D. Phase and Frequency Detector Phase-locked loop (PLL) circuits are used when an output signal whose phase will relate to that of the input signal has to be generated. PLL is often used for the process of frequency multiplication, clock synchronization and recovery. Phase locked loop circuits can be classified into various types based on the application where it is used. The block diagram of PFD is shown in Fig.6. Fig.6. Basic representation of Phase and Frequency Detector The main components of a digital PLL circuit encompass of PFD. The difference of phase and frequency between the input reference signal and the signal given as feedback is measured by the Phase and frequency Detector. The Operation of PFD is shown in Fig.7. The operation of PFD is obtained by combining a latch circuit and a 4-state PFD. Fig.7.Operation of PFD There are four nodes, namely node U, node D, node UP and node DN. During the positive progression of REFCLK, the node U is high while the voltage level of node D remains low. Due to this transition, an UP signal is generated. The UP-control signal is maintained high until the transition of FBKCLK occurs. Similarly, when a positive transit occurs on FBKCLK the node D becomes high while the node UP is low. A down signal is set and is turned off only when transition of REFCLK occurs. While both REFCLK and FBKCLK transition happen simultaneously the nodes U and D is at a lower voltage level. In 818

5 such a state no output signal is generated from the PFD. Fig.8.shows the output of phase and frequency detector. The PFD used has a simple circuitry and has no glitch output. Fig.8.Outputs of Phase and Frequency Detector. E. Digitally Controlled Oscillator In a digitally controlled oscillator a periodic signal is generated whose frequency is digitally controlled by a control word. The digitally controlled oscillators are based on ring based topology having 3, 4 and 5 control bits. Based on the requirement of output frequency range an increase in the number of control bits is done. The advantages of the ADPLL systems are fast frequency locking, digital control and good stability. LC oscillators are the commonly used oscillators in transceiver designs. The most important feature of the LC oscillator is the low phase noise. In all digital PLLs, the discretization of frequency leads to quantization noise that affects the performance of the system in terms of out-of-band phase noise. The heart of the DCO is the capacitor bank. The capacitor bank consists of groups of varactors that have different specifications. Variation of frequency in DCO in coarse and fine frequency steps is provided by the capacitor bank. Therefore, the varactor decides the tuning range and frequency resolution of a DCO. Varactors are just variable capacitances that are controlled by control bits in case of DCOs and tuning voltage in case of VCOs. DCO s should be designed in such a way that it can provide enough control word to control the frequency and maintain only a considerable amount of jitter because jitter is a factor that is responsible in stimulating the system. The resolution is the factor that decides the jitter, if the resolution is kept small, the jitter of the PLL can be maintained. The optimized DCO has a lower power consumption, hence PLL will become more energy-efficient. F. Delta Modulation A much-simplified version of PCM is the Delta Modulation(DM). In some systems correlation occurs between neighbouring samples. Once the samples are known, instead of sending the real value of each sample every time, the estimate of the variances between successive samples are sent in Delta Modulation. In DM a two-level quantization scheme is used along with one-bit coding. The transmitted code pulses do not carry the message data but they carry only a differential message function. At the output of the demodulator the bit stream of samples appears at high rate. The value of each bit is determined based on whether the input message sample has increased or decreased in contrast to the pervious samples. The system implements a feedback loop where it acts as a continuous to discrete-time converter. It is nothing but a form of analog to digital converter. Once the clocking of the sampler occurs, the resultant signal is a delta modulated signal.fig 9,shows the generation of saw-tooth waveform. Fig.9. Generation of Saw-tooth waveform 819

6 The output of the sampler is a bi-polar signal (+V volts) which has a clocking rate similar to that of the modulator. On integrating the clock signal, a saw tooth-like waveform is generated. This is somewhat approximate to the original message. At message frequency, the saw tooth waveform contains information and certain unwanted frequency components (quantization noise). Such unwanted frequency components that lie beyond the bandwidth of the original baseband message are removed by designing a lowpass filter. Reconstruction of the original message using a delta modulator is less perfect when compared to ideal sampling of an analog signal, and then performing ideal reconstruction by a lowpass filter. IV.RESULTS AND DISCUSSION The results show the waveforms of the input signal with sharp spectral peaks where the intensity of interfering signal is high. The high intensity signal is reduced by spreading the signal from a narrow band to a wide band that thereby reduces the electromagnetic interference caused. Fig. 11, shows the signal with sharp spectral peak where the intensity of interfering signal will be high. Fig.10.Signal with a high intensity peak Fig.12, shows the spreading of the signal from narrow band to a wideband that causes a reduction in signal intensity. Figure 12. High Intensity spread spectrum signal 820

7 The spectrum analysers step response is shown in Fig. 13 Fig.13.Step Response V. CONCLUSION This paper summarizes the spread spectrum clock generation techniques. A brief discussion on sources and causes of Electromagnetic interference along with various control methods have been discussed. The block diagram of all digital spread spectrum clock generation using sawtooth modulation is analysed. The simulation results of spread spectrum clock generation are analysed with sawtooth modulation technique. The experimental results demonstrate the spreading of narrow band spectral peak to a wide band spectrum. The power consumption when sawtooth modulation is used was found to be around 111 mw which is a significantly lower value when compared to other modulation techniques. REFERENCES [1] Bo Shen, Unruh, G., Lugthart, M., Chang-Hyeon Lee, Chambers M. (2013) An 8.5 mw, 0.07 mm2 ADPLL in 28 nm CMOS with sub-ps resolution TDC and < 230 fs RMS jitter, IEEE Symposium on VLSI Circuits (VLSIC). [2] C.-C. Chung, C.Y. Ko, (2011) A Fast Phase Tracking ADPLL For Video Pixel Clock Generation In 65 Nm CMOS Technology IEEE Journal of Solid-State Circuits, Volume:46, Issue: 10. [3] Ching-Che Chung, Duo Sheng, Wei-Da Ho (2012) A Low-Power And Small-Area All-Digital Spread-Spectrum Clock Generator In 65 Nm CMOS Technology, IEEE International Symposium on VLSI Design, Automation, and Test (VLSI-DAT). [4] Chung, C.-C., Sheng, D.,Ho, W.D. (2014) A Low-Cost Low-Power All-Digital Spread-Spectrum Clock Generator, published in very large scale integration systems, IEEE transactions on Volume:PP, Issue: 99. [5] El Kholy, A. Mokhtar, A., El Ghitany, F., Badawy, A., Essawy, A., Naguib, A.,Ismail, A., Ahmed, A. (2009) A Wide Spreading Range Programmable Spread Spectrum Clock Generator Using A ΣΔ Fractional-N PLL,Joint IEEE North-East Workshop on Circuits and Systems and TAISA Conference,NEWCAS- TAISA. [6] M. Kokubo, T. Kawamoto, T. Oshima, T. Noto, M. Suzuki, S. Suzuki, T.Hayasaka, T. Takahashi, and J. Kasai, Spread-Spectrum Clock Generatorfor Serial ATA using Fractional SSCG controlled by Modulator withlevel Shifter, IEEE ISSCC, Digest of Tech. Papers, pp , Feb [7] J. Shin, at al., A Low-Jitter Added SSCG with Seamless Phase Selectionand Fast AFC for 3rd Generation Serial-ATA, IEEE 2006 CICC, pp , Sept [8] Seungjin Kim, In-Young Lee, Sang-Sung Lee, Min Su Kil, Jeongki Choi, Jinho Ko,Sang-Gug Lee (2014) A UHF-band RFID transmitter with spur reduction technique using a DLL-based spread-spectrum clock generator, published in IEEE Radio Frequency Integrated Circuits Symposium. [9] Wan-Rone Liou,Mei-Ling Yeh, Ping-Shin Chen, Chun-Chang Tseng, Tang-Yu Huang, Shu-Chia Lin, Cheng-Yu Lin, Chih- Hsiang Sun (2014) Monolithic Low-EMI CMOS DC DC Boost Converter for Portable Applications 53rd IEEE International Midwest Symposium on Circuits and Systems (MWSCAS). [10] W. Rhee, B. S. Song and A. Ali, A 1.1-GHz CMOS fractional frequency synthesizer with a 3-b third-order ΔΣ modulator IEEE J. Solid-State Circuits,vol. 35, pp , Oct [11] Zhen-Yu Li, Herming Chiueh, Juang, Y.-Z., Chin-Fong Chiu (2010) A Small Area Fully Integrated Spread-Spectrum Clock Generator With High EMI- Reduction For SATA-3.0 Applications, 53rd IEEE International Midwest Symposium on Circuits and Systems (MWSCAS). 821

Acounter-basedall-digital spread-spectrum clock generatorwithhighemi reductionin65nmcmos

Acounter-basedall-digital spread-spectrum clock generatorwithhighemi reductionin65nmcmos LETTER IEICE Electronics Express, Vol.10, No.6, 1 6 Acounter-basedall-digital spread-spectrum clock generatorwithhighemi reductionin65nmcmos Ching-Che Chung 1a), Duo Sheng 2, and Wei-Da Ho 1 1 Department

More information

THE serial advanced technology attachment (SATA) is becoming

THE serial advanced technology attachment (SATA) is becoming IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 11, NOVEMBER 2007 979 A Low-Jitter Spread Spectrum Clock Generator Using FDMP Ding-Shiuan Shen and Shen-Iuan Liu, Senior Member,

More information

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator Nitin Kumar #1, Manoj Kumar *2 # Ganga Institute of Technology & Management 1 nitinkumarvlsi@gmail.com * Guru Jambheshwar University of Science

More information

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 3, MARCH 2011 149 Built-in Self-Calibration Circuit for Monotonic Digitally Controlled Oscillator Design in 65-nm CMOS Technology

More information

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology Ching-Che Chung 1a), Duo Sheng 2, and Sung-En Shen 1 1 Department of Computer Science & Information

More information

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL 2.1 Background High performance phase locked-loops (PLL) are widely used in wireless communication systems to provide

More information

Enhancing FPGA-based Systems with Programmable Oscillators

Enhancing FPGA-based Systems with Programmable Oscillators Enhancing FPGA-based Systems with Programmable Oscillators Jehangir Parvereshi, jparvereshi@sitime.com Sassan Tabatabaei, stabatabaei@sitime.com SiTime Corporation www.sitime.com 990 Almanor Ave., Sunnyvale,

More information

Cost Effective Spread Spectrum Clock Generator Design Chulwoo Kim, Minyoung Song, Sewook Hwang

Cost Effective Spread Spectrum Clock Generator Design Chulwoo Kim, Minyoung Song, Sewook Hwang Cost Effective Spread Spectrum Clock Generator Design Chulwoo Kim, Minyoung Song, Sewook Hwang Advanced Integrated Systems Lab. Korea University, Seoul, Korea Outline Introduction Spread Spectrum Clock

More information

A Spread Spectrum Clock Generator for DisplayPort 1.2 with a Hershey-Kiss Modulation Profile

A Spread Spectrum Clock Generator for DisplayPort 1.2 with a Hershey-Kiss Modulation Profile http://dx.doi.org/10.5573/jsts.2013.13.4.282 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.4, AUGUST, 2013 A Spread Spectrum Clock Generator for DisplayPort 1.2 with a Hershey-Kiss Modulation

More information

A Low-Power and Portable Spread Spectrum Clock Generator for SoC Applications

A Low-Power and Portable Spread Spectrum Clock Generator for SoC Applications IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 A Low-Power and Portable Spread Spectrum Clock Generator for SoC Applications Duo Sheng, Ching-Che Chung, and Chen-Yi Lee Abstract In

More information

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Duo Sheng 1a), Ching-Che Chung 2,andChen-YiLee 1 1 Department of Electronics Engineering & Institute of

More information

AS THE DATA rate demanded by multimedia system

AS THE DATA rate demanded by multimedia system 424 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 7, JULY 2012 An All-Digital Large-N Audio Frequency Synthesizer for HDMI Applications Ching-Che Chung, Member, IEEE, Duo Sheng,

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 56, NO. 1, JANUARY 2009 51 A 1 6 PLL-Based Spread-Spectrum Clock Generator With a Ditherless Fractional Topology Ching-Yuan Yang, Member,

More information

A New Phase-Locked Loop with High Speed Phase Frequency Detector and Enhanced Lock-in

A New Phase-Locked Loop with High Speed Phase Frequency Detector and Enhanced Lock-in A New Phase-Locked Loop with High Speed Phase Frequency Detector and Enhanced Lock-in HWANG-CHERNG CHOW and NAN-LIANG YEH Department and Graduate Institute of Electronics Engineering Chang Gung University

More information

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University By: K. Tripurari, C. W. Hsu, J. Kuppambatti, B. Vigraham, P.R. Kinget Columbia University For

More information

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Engineering, Technology & Applied Science Research Vol. 7, No. 2, 2017, 1473-1477 1473 A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Hamidreza Esmaeili Taheri Department of Electronics

More information

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application J Electr Eng Technol Vol. 9, No.?: 742-?, 2014 http://dx.doi.org/10.5370/jeet.2014.9.?.742 ISSN(Print) 1975-0102 ISSN(Online) 2093-7423 20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters.

More information

High Performance Digital Fractional-N Frequency Synthesizers

High Performance Digital Fractional-N Frequency Synthesizers High Performance Digital Fractional-N Frequency Synthesizers Michael Perrott October 16, 2008 Copyright 2008 by Michael H. Perrott All rights reserved. Why Are Digital Phase-Locked Loops Interesting? PLLs

More information

A Monotonic and Low-Power Digitally Controlled Oscillator Using Standard Cells for SoC Applications

A Monotonic and Low-Power Digitally Controlled Oscillator Using Standard Cells for SoC Applications A Monotonic and Low-Power Digitally Controlled Oscillator Using Standard Cells for SoC Applications Duo Sheng, Ching-Che Chung, and Jhih-Ci Lan Department of Electrical Engineering, Fu Jen Catholic University,

More information

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) Proceedings of the International Conference on Emerging Trends in Engineering and Management (ICETEM14) ISSN 0976

More information

Spread Spectrum Frequency Timing Generator

Spread Spectrum Frequency Timing Generator Spread Spectrum Frequency Timing Generator Features Maximized EMI suppression using Cypress s Spread Spectrum technology Generates a spread spectrum copy of the provided input Selectable spreading characteristics

More information

THE reference spur for a phase-locked loop (PLL) is generated

THE reference spur for a phase-locked loop (PLL) is generated IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 8, AUGUST 2007 653 Spur-Suppression Techniques for Frequency Synthesizers Che-Fu Liang, Student Member, IEEE, Hsin-Hua Chen, and

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

Integrated Circuit Design for High-Speed Frequency Synthesis

Integrated Circuit Design for High-Speed Frequency Synthesis Integrated Circuit Design for High-Speed Frequency Synthesis John Rogers Calvin Plett Foster Dai ARTECH H O US E BOSTON LONDON artechhouse.com Preface XI CHAPTER 1 Introduction 1 1.1 Introduction to Frequency

More information

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS Diary R. Sulaiman e-mail: diariy@gmail.com Salahaddin University, Engineering College, Electrical Engineering Department Erbil, Iraq Key

More information

Dedication. To Mum and Dad

Dedication. To Mum and Dad Dedication To Mum and Dad Acknowledgment Table of Contents List of Tables List of Figures A B A B 0 1 B A List of Abbreviations Abstract Chapter1 1 Introduction 1.1. Motivation Figure 1. 1 The relative

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

Single-Stage Vernier Time-to-Digital Converter with Sub-Gate Delay Time Resolution

Single-Stage Vernier Time-to-Digital Converter with Sub-Gate Delay Time Resolution Circuits and Systems, 2011, 2, 365-371 doi:10.4236/cs.2011.24050 Published Online October 2011 (http://www.scirp.org/journal/cs) Single-Stage Vernier Time-to-Digital Converter with Sub-Gate Delay Time

More information

Low distortion signal generator based on direct digital synthesis for ADC characterization

Low distortion signal generator based on direct digital synthesis for ADC characterization ACTA IMEKO July 2012, Volume 1, Number 1, 59 64 www.imeko.org Low distortion signal generator based on direct digital synthesis for ADC characterization Walter F. Adad, Ricardo J. Iuzzolino Instituto Nacional

More information

Multiple Reference Clock Generator

Multiple Reference Clock Generator A White Paper Presented by IPextreme Multiple Reference Clock Generator Digitial IP for Clock Synthesis August 2007 IPextreme, Inc. This paper explains the concept behind the Multiple Reference Clock Generator

More information

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 03, 2015 ISSN (online): 2321-0613 Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni

More information

Available online at ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013

Available online at  ScienceDirect. International Conference On DESIGN AND MANUFACTURING, IConDM 2013 Available online at www.sciencedirect.com ScienceDirect Procedia Engineering 64 ( 2013 ) 377 384 International Conference On DESIGN AND MANUFACTURING, IConDM 2013 A Novel Phase Frequency Detector for a

More information

Biju Viswanath Rajagopal P C Ramya Nair S R Jobin Cyriac. QuEST Global

Biju Viswanath Rajagopal P C Ramya Nair S R Jobin Cyriac. QuEST Global an effective design and verification methodology for digital PLL This Paper depicts an effective simulation methodology to overcome the spice simulation time overhead of digital dominant, low frequency

More information

A GHz Wideband Sub-harmonically Injection- Locked PLL with Adaptive Injection Timing Alignment Technique

A GHz Wideband Sub-harmonically Injection- Locked PLL with Adaptive Injection Timing Alignment Technique A 2.4 3.6-GHz Wideband Sub-harmonically Injection- Locked PLL with Adaptive Injection Timing Alignment Technique Abstract: This paper proposes a wideband sub harmonically injection-locked PLL (SILPLL)

More information

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique ECE1352 Term Paper Low Voltage Phase-Locked Loop Design Technique Name: Eric Hu Student Number: 982123400 Date: Nov. 14, 2002 Table of Contents Abstract pg. 04 Chapter 1 Introduction.. pg. 04 Chapter 2

More information

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection Somnath Kundu 1, Bongjin Kim 1,2, Chris H. Kim 1 1

More information

Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT

Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT Case5:08-cv-00877-PSG Document578-15 Filed09/17/13 Page1 of 11 EXHIBIT N ISSCC 2004 Case5:08-cv-00877-PSG / SESSION 26 / OPTICAL AND Document578-15 FAST I/O / 26.10 Filed09/17/13 Page2 of 11 26.10 A PVT

More information

Low Power Glitch Free Delay Lines

Low Power Glitch Free Delay Lines Low Power Glitch Free Delay Lines Y.Priyanka 1, Dr. N.Ravi Kumar 2 1 PG Student, Electronics & Comm. Engineering, Anurag Engineering College, Kodad, T.S, India 2 Professor, Electronics & Comm. Engineering,

More information

DESIGN AND ANALYSIS OF PHASE-LOCKED LOOP AND PERFORMANCE PARAMETERS

DESIGN AND ANALYSIS OF PHASE-LOCKED LOOP AND PERFORMANCE PARAMETERS DESIGN AND ANALYSIS OF PHASE-LOCKED LOOP AND PERFORMANCE PARAMETERS Nilesh D. Patel 1, Gunjankumar R. Modi 2, Priyesh P. Gandhi 3, Amisha P. Naik 4 1 Research Scholar, Institute of Technology, Nirma University,

More information

A Low Power Digitally Controlled Oscillator Using 0.18um Technology

A Low Power Digitally Controlled Oscillator Using 0.18um Technology A Low Power Digitally Controlled Oscillator Using 0.18um Technology R. C. Gurjar 1, Rupali Jarwal 2, Ulka Khire 3 1, 2,3 Microelectronics and VLSI Design, Electronics & Instrumentation Engineering department,

More information

Peak Reducing EMI Solution

Peak Reducing EMI Solution Peak Reducing EMI Solution Features Cypress PREMIS family offering enerates an EMI optimized clocking signal at the output Selectable input to output frequency Single 1.% or.% down or center spread output

More information

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A single-slope 80MS/s ADC using two-step time-to-digital conversion A single-slope 80MS/s ADC using two-step time-to-digital conversion The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

High-Robust Relaxation Oscillator with Frequency Synthesis Feature for FM-UWB Transmitters

High-Robust Relaxation Oscillator with Frequency Synthesis Feature for FM-UWB Transmitters JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.2, APRIL, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.2.202 ISSN(Online) 2233-4866 High-Robust Relaxation Oscillator with

More information

CLOCK AND DATA RECOVERY (CDR) circuits incorporating

CLOCK AND DATA RECOVERY (CDR) circuits incorporating IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1571 Brief Papers Analysis and Modeling of Bang-Bang Clock and Data Recovery Circuits Jri Lee, Member, IEEE, Kenneth S. Kundert, and

More information

An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS Technology

An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS Technology IJIRST International Journal for Innovative Research in Science & Technology Volume 2 Issue 10 March 2016 ISSN (online): 2349-6010 An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS

More information

A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor

A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor LETTER IEICE Electronics Express, Vol.9, No.24, 1842 1848 A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor Yangyang Niu, Wei Li a), Ning

More information

Digital Transceiver using H-Ternary Line Coding Technique

Digital Transceiver using H-Ternary Line Coding Technique Digital Transceiver using H-Ternary Line Coding Technique Abstract In this paper Digital Transceiver using Hybrid Ternary Technique gives the details about digital transmitter and receiver with the design

More information

A fast lock-in all-digital phase-locked loop in 40-nm CMOS technology

A fast lock-in all-digital phase-locked loop in 40-nm CMOS technology LETTER IEICE Electronics Express, Vol.13, No.17, 1 10 A fast lock-in all-digital phase-locked loop in 40-nm CMOS technology Ching-Che Chung a) and Chi-Kuang Lo Department of Computer Science & Information

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

Optimization of Digitally Controlled Oscillator with Low Power

Optimization of Digitally Controlled Oscillator with Low Power IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. I (Nov -Dec. 2015), PP 52-57 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Optimization of Digitally Controlled

More information

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Shaik. Yezazul Nishath School Of Electronics Engineering (SENSE) VIT University Chennai, India Abstract This paper outlines

More information

Phase Locked Loop Design for Fast Phase and Frequency Acquisition

Phase Locked Loop Design for Fast Phase and Frequency Acquisition Phase Locked Loop Design for Fast Phase and Frequency Acquisition S.Anjaneyulu 1,J.Sreepavani 2,K.Pramidapadma 3,N.Varalakshmi 4,S.Triven 5 Lecturer,Dept.of ECE,SKU College of Engg. & Tech.,Ananthapuramu

More information

NEW WIRELESS applications are emerging where

NEW WIRELESS applications are emerging where IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 709 A Multiply-by-3 Coupled-Ring Oscillator for Low-Power Frequency Synthesis Shwetabh Verma, Member, IEEE, Junfeng Xu, and Thomas H. Lee,

More information

SSCG with Hershey-Kiss modulation profile using Dual Sigma-Delta modulators

SSCG with Hershey-Kiss modulation profile using Dual Sigma-Delta modulators SSCG with Hershey-Kiss modulation profile using Dual Sigma-Delta modulators Hyung-Min Park, Hyun-Bae Jin, and Jin-Ku Kang a) School of Electronics Engineering, Inha University 253 Yonghyun-dong, Nam-Gu,

More information

Energy Efficient and High Speed Charge-Pump Phase Locked Loop

Energy Efficient and High Speed Charge-Pump Phase Locked Loop Energy Efficient and High Speed Charge-Pump Phase Locked Loop Sherin Mary Enosh M.Tech Student, Dept of Electronics and Communication, St. Joseph's College of Engineering and Technology, Palai, India.

More information

Lecture 7: Components of Phase Locked Loop (PLL)

Lecture 7: Components of Phase Locked Loop (PLL) Lecture 7: Components of Phase Locked Loop (PLL) CSCE 6933/5933 Instructor: Saraju P. Mohanty, Ph. D. NOTE: The figures, text etc included in slides are borrowed from various books, websites, authors pages,

More information

Designing of Charge Pump for Fast-Locking and Low-Power PLL

Designing of Charge Pump for Fast-Locking and Low-Power PLL Designing of Charge Pump for Fast-Locking and Low-Power PLL Swati Kasht, Sanjay Jaiswal, Dheeraj Jain, Kumkum Verma, Arushi Somani Abstract The specific property of fast locking of PLL is required in many

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

A Novel Low Power Digitally Controlled Oscillator with Improved linear Operating Range

A Novel Low Power Digitally Controlled Oscillator with Improved linear Operating Range A Novel Low Power Digitally Controlled Oscillator with Improved linear Operating Range Nasser Erfani Majd, Mojtaba Lotfizad Abstract In this paper, an ultra low power and low jitter 12bit CMOS digitally

More information

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE MS. V.NIVEDITHA 1,D.MARUTHI KUMAR 2 1 PG Scholar in M.Tech, 2 Assistant Professor, Dept. of E.C.E,Srinivasa Ramanujan Institute

More information

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies JOURNAL OF ELECTROMAGNETIC ENGINEERING AND SCIENCE, VOL. 17, NO. 2, 98~104, APR. 2017 http://dx.doi.org/10.5515/jkiees.2017.17.2.98 ISSN 2234-8395 (Online) ISSN 2234-8409 (Print) CMOS 120 GHz Phase-Locked

More information

Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop

Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop J. Handique, Member, IAENG and T. Bezboruah, Member, IAENG 1 Abstract We analyzed the phase noise of a 1.1 GHz phaselocked loop system for

More information

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4 33.4 A Dual-Channel Direct-Conversion CMOS Receiver for Mobile Multimedia Broadcasting Vincenzo Peluso, Yang Xu, Peter Gazzerro, Yiwu Tang, Li Liu, Zhenbiao Li, Wei Xiong, Charles Persico Qualcomm, San

More information

THE UWB system utilizes the unlicensed GHz

THE UWB system utilizes the unlicensed GHz IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 6, JUNE 2006 1245 The Design and Analysis of a DLL-Based Frequency Synthesizer for UWB Application Tai-Cheng Lee, Member, IEEE, and Keng-Jan Hsiao Abstract

More information

High Performance Digital Fractional-N Frequency Synthesizers. IEEE Distinguished Lecture Lehigh Valley SSCS Chapter

High Performance Digital Fractional-N Frequency Synthesizers. IEEE Distinguished Lecture Lehigh Valley SSCS Chapter High Performance Digital Fractional-N Frequency Synthesizers IEEE Distinguished Lecture Lehigh Valley SSCS Chapter Michael H. Perrott October 2013 Copyright 2013 by Michael H. Perrott All rights reserved.

More information

An Investigation into the Effects of Sampling on the Loop Response and Phase Noise in Phase Locked Loops

An Investigation into the Effects of Sampling on the Loop Response and Phase Noise in Phase Locked Loops An Investigation into the Effects of Sampling on the Loop Response and Phase oise in Phase Locked Loops Peter Beeson LA Techniques, Unit 5 Chancerygate Business Centre, Surbiton, Surrey Abstract. The majority

More information

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition P. K. Rout, B. P. Panda, D. P. Acharya and G. Panda 1 Department of Electronics and Communication Engineering, School of Electrical

More information

Phase Locked Loop using VLSI Technology for Wireless Communication

Phase Locked Loop using VLSI Technology for Wireless Communication Phase Locked Loop using VLSI Technology for Wireless Communication Tarde Chaitali Chandrakant 1, Prof. V.P.Bhope 2 1 PG Student, Department of Electronics and telecommunication Engineering, G.H.Raisoni

More information

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

B.Tech II Year II Semester (R13) Supplementary Examinations May/June 2017 ANALOG COMMUNICATION SYSTEMS (Electronics and Communication Engineering)

B.Tech II Year II Semester (R13) Supplementary Examinations May/June 2017 ANALOG COMMUNICATION SYSTEMS (Electronics and Communication Engineering) Code: 13A04404 R13 B.Tech II Year II Semester (R13) Supplementary Examinations May/June 2017 ANALOG COMMUNICATION SYSTEMS (Electronics and Communication Engineering) Time: 3 hours Max. Marks: 70 PART A

More information

All Digital Phase Locked Loop Architecture Design Using Vernier Delay Time-to- Digital Converter

All Digital Phase Locked Loop Architecture Design Using Vernier Delay Time-to- Digital Converter ISSN:1991-8178 Australian Journal of Basic and Applied Sciences Journal home page: www.ajbasweb.com All Digital Phase Locked Loop Architecture Design Using Vernier Delay Time-to- Digital Converter 1 T.M.

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

Fabricate a 2.4-GHz fractional-n synthesizer

Fabricate a 2.4-GHz fractional-n synthesizer University of Malaya From the SelectedWorks of Professor Mahmoud Moghavvemi Summer June, 2013 Fabricate a 2.4-GHz fractional-n synthesizer H Ameri Mahmoud Moghavvemi, University of Malaya a Attaran Available

More information

Designing Nano Scale CMOS Adaptive PLL to Deal, Process Variability and Leakage Current for Better Circuit Performance

Designing Nano Scale CMOS Adaptive PLL to Deal, Process Variability and Leakage Current for Better Circuit Performance International Journal of Innovative Research in Electronics and Communications (IJIREC) Volume 1, Issue 3, June 2014, PP 18-30 ISSN 2349-4042 (Print) & ISSN 2349-4050 (Online) www.arcjournals.org Designing

More information

ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS

ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS ROBERT BOGDAN STASZEWSKI Texas Instruments PORAS T. BALSARA University of Texas at Dallas WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC., PUBLICATION

More information

MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS

MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS Moataz Abdelfattah Supervised by: AUC Prof. Yehea Ismail Dr. Maged Ghoniema Intel Dr. Mohamed Abdel-moneum (Industry Mentor) Outline Introduction

More information

A Monotonic, low power and high resolution digitally controlled oscillator

A Monotonic, low power and high resolution digitally controlled oscillator A Monotonic, low power and high resolution digitally controlled oscillator Rashin asadi, Mohsen saneei nishar.a@eng.uk.ac.ir, msaneei@uk.ac.ir Paper Reference Number: ELE-3032 Name of the Presenter: Rashin

More information

The Case for Oversampling

The Case for Oversampling EE47 Lecture 4 Oversampled ADCs Why oversampling? Pulse-count modulation Sigma-delta modulation 1-Bit quantization Quantization error (noise) spectrum SQNR analysis Limit cycle oscillations nd order ΣΔ

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

Published by: PIONEER RESEARCH & DEVELOPMENT GROUP ( 1

Published by: PIONEER RESEARCH & DEVELOPMENT GROUP (  1 Glitch free NAND based Digitally Controlled Delay Line for Spread Spectrum Clock Generator Christy Varghese 1 and E.Terence 2 1 Department of Electrical & Electronics Engineering, Hindustan Institute of

More information

A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique

A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique Lei Lu, Lingbu Meng, Liang Zou, Hao Min and Zhangwen Tang Fudan University,

More information

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, 2014 http://dx.doi.org/10.5573/jsts.2014.14.3.331 A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

More information

A SiGe 6 Modulus Prescaler for a 60 GHz Frequency Synthesizer

A SiGe 6 Modulus Prescaler for a 60 GHz Frequency Synthesizer A SiGe 6 Modulus Prescaler for a 6 GHz Frequency Synthesizer Noorfazila Kamal,YingboZhu, Said F. Al-Sarawi, Neil H.E. Weste,, and Derek Abbott The School of Electrical & Electronic Engineering, University

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 20: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 2 is on Friday Nov. 9 One double-sided 8.5x11

More information

A 8-Bit Hybrid Architecture Current-Steering DAC

A 8-Bit Hybrid Architecture Current-Steering DAC A 8-Bit Hybrid Architecture Current-Steering DAC Mr. Ganesha H.S. 1, Dr. Rekha Bhandarkar 2, Ms. Vijayalatha Devadiga 3 1 Student, Electronics and communication, N.M.A.M. Institute of Technology, Karnataka,

More information

Modeling And Implementation of All-Digital Phase-Locked Loop Based on Vernier Gated Ring Oscillator Time-to-Digital Converter

Modeling And Implementation of All-Digital Phase-Locked Loop Based on Vernier Gated Ring Oscillator Time-to-Digital Converter Master s Thesis Modeling And Implementation of All-Digital Phase-Locked Loop Based on Vernier Gated Ring Oscillator Time-to-Digital Converter Ji Wang Department of Electrical and Information Technology,

More information

ISSN:

ISSN: High Frequency Power Optimized Ring Voltage Controlled Oscillator for 65nm CMOS Technology NEHA K.MENDHE 1, M. N. THAKARE 2, G. D. KORDE 3 Department of EXTC, B.D.C.O.E, Sevagram, India, nehakmendhe02@gmail.com

More information

Design of CMOS Phase Locked Loop

Design of CMOS Phase Locked Loop 2018 IJSRST Volume 4 Issue 2 Print ISSN: 2395-6011 Online ISSN: 2395-602X Themed Section: Science and Technology Design of CMOS Phase Locked Loop Kaviyadharshini Sivaraman PG Scholar, Department of Electrical

More information

A 2.7 to 4.6 GHz Multi-Phase High Resolution and Wide Tuning Range Digitally-Controlled Oscillator in CMOS 65nm

A 2.7 to 4.6 GHz Multi-Phase High Resolution and Wide Tuning Range Digitally-Controlled Oscillator in CMOS 65nm A 2.7 to 4.6 GHz Multi-Phase High Resolution and Wide Tuning Range Digitally-Controlled Oscillator in CMOS 65nm J. Gorji Dept. of E.E., Shahed University Tehran, Iran j.gorji@shahed.ac.ir M. B. Ghaznavi-Ghoushchi

More information

Noise Analysis of Phase Locked Loops

Noise Analysis of Phase Locked Loops Noise Analysis of Phase Locked Loops MUHAMMED A. IBRAHIM JALIL A. HAMADAMIN Electrical Engineering Department Engineering College Salahaddin University -Hawler ERBIL - IRAQ Abstract: - This paper analyzes

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

Design of a Frequency Synthesizer for WiMAX Applications

Design of a Frequency Synthesizer for WiMAX Applications Design of a Frequency Synthesizer for WiMAX Applications Samarth S. Pai Department of Telecommunication R. V. College of Engineering Bangalore, India Abstract Implementation of frequency synthesizers based

More information

A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator*

A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator* WP 23.6 A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator* Christopher Lam, Behzad Razavi University of California, Los Angeles, CA New wireless local area network (WLAN) standards have recently emerged

More information

EXPERIMENT WISE VIVA QUESTIONS

EXPERIMENT WISE VIVA QUESTIONS EXPERIMENT WISE VIVA QUESTIONS Pulse Code Modulation: 1. Draw the block diagram of basic digital communication system. How it is different from analog communication system. 2. What are the advantages of

More information

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal International Journal of Scientific & Engineering Research, Volume 5, Issue 5, May-2014 45 Design and Performance Analysis of a Phase Locked Loop using Differential Voltage Controlled Oscillator Sudatta

More information

Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator

Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator Abhishek Mishra Department of electronics &communication, suresh gyan vihar university Mahal jagatpura, jaipur (raj.), india Abstract-There

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June-2013 1 Design of Low Phase Noise Ring VCO in 45NM Technology Pankaj A. Manekar, Prof. Rajesh H. Talwekar Abstract: -

More information