WRTLT'16 Program The Seventeenth Workshop on RTL and High Level Testing November 24-25, 2016, Aki Grand Hotel, Hiroshima, JAPAN

Size: px
Start display at page:

Download "WRTLT'16 Program The Seventeenth Workshop on RTL and High Level Testing November 24-25, 2016, Aki Grand Hotel, Hiroshima, JAPAN"

Transcription

1 WRTLT'16 Program The Seventeenth Workshop on RTL and High Level Testing November 24-25, 2016, Aki Grand Hotel, Hiroshima, JAPAN Day 1: Thursday, Nov. 24, :00 Bus Service from (ATS'16 Venue) to (WRTLT'16 Venue) 13:00-14:20 Welcome Lunch (1F Itsukushima) Plenary Session (4F Sango) 14:20-14:40 Opening 14:40-15:40 Keynote Speech: "Automatic generation of test programs from high-level processor descriptions: an academic dream?" Prof. Matteo Sonza Reorda (Politecnico di Torino, Italy) 15:40-16:10 Invited Talk : Why Open Defects Should be Explicitly Targeted During Test Prof. Adit D. Singh (Auburn University, USA) Session 1: System Test and 3D-IC Test (4F Sango) 16:30-16:50 Synchronization, Calibration and Triggering of IEEE 1687 Embedded Instruments * presenter Chair: Prof. Toshinori Hosokawa (Nihon University) * Artur Jutman, Sergei Devadze (Testonica Lab) and Konstantin Shibin (Tallinn University of Technology) 16:50-17:10 Capacitive Open Defect Detection by Electrical Interconnect Test of 3D ICs without Boundary Scan Flip Flops 17:10-17:25 * Fara Ashikin Binti Ali (Universiti Teknikal Malaysia Melaka; Tokushima University), Yuki Ikiri, Masaki Hashizume, Hiroyuki Yotsuyanagi (Tokushima University) and Shyue-Kung Lu (National Taiwan University of Science and Technology) On Control Circuit and Observation Conditions for Testing Multiple TSVs Using Boundary Scan Circuit with Embedded TDC * Takumi Kawaguchi, Hiroyuki Yotsuyanagi and Masaki Hashizume (Tokushima University) Session 2: Power-Aware Testing (4F Sango) 17:30-17:50 Use Machine Learning to Predict Circuit Test Timing Based on Physical Information Yu-Cheng Liu and * James C.-M. Li (National Taiwan University) 17:50-18:10 R-fill : Timing Aware Capture Power Reduction using ZOLP Rohini Gulve and * Virendra Singh(Indian Institute of Technology Bombay) 19:00-21:00 Banquet (4F Seto-no-Ma) Chair: Prof. Huawei Li (Institute of Computing Technology, CAS)

2 6:00-8:00 Tour to the Miyajima Island (A World Heritage Site) Session 3: RTL Design and Test (4F Sango) 9:00-9:20 Exploration of Four-Phase Dual-Rail Asynchronous RTL Design for Delay-Robustness Tsuyoshi Iwagaki, * Kohta Itani, Hideyuki Ichihara and Tomoo Inoue (Hiroshima City University) 9:20-9:40 Fault Diagnosis of Physical Unclonable Function Jing Ye, * Qingli Guo, Yu Hu and Xiaowei Li (Chinese Academy of Sciences) * presenter Chair: Prof. Yasuo Sato (Kyushu Institute of Technology) 9:40-9:55 Impact of State Assignment on Error Resilient Stochastic Computing with Linear Finite State Machines Hideyuki Ichihara, * Motoi Fukuda, Tsuyoshi Iwagaki and Tomoo Inoue (Hiroshima City University) 9:55-10:10 A Binding Method to Generate Easily Testable Functional Time Expansion Models * Mamoru Sato, Tetsuya Masuda, Jun Nishimaki, Toshinori Hosokawa (Nihon University) and Hideo Fujiwara (Osaka Gakuin University) 10:10-10:25 A Design for Testability Method at RTL for Concurrent Operational Unit Testing * Shun Takeda, Toshinori Hosokawa, Hiroshi Yamazaki (Nihon University) and Masayoshi Yoshimura (Kyoto Sangyo University) Session 4: Field Test and Aging Analysis (4F Sango) 10:35-10:55 Measurement of On-Chip Temperature and Voltage Variation Using Digital Sensors * Yousuke Miyake, Yasuo Sato and Seiji Kajihara (Kyushu Institute of Technology) 10:55-11:15 Pattern Partitioning for Field Testing Considering the Aging Speed * Hanan Al Awadhi, Senling Wang, Yoshinobu Higami and Hiroshi Takahashi (Ehime University) 11:15-11:35 Path-based Approach to Identify Timing Critical Paths under Aging 11:45-12:10 Invited Talk: Chair: Prof. Jiun-Lang Huang (National Taiwan University) Ankush Srivastava (NXP Semiconductor India), * Virendra Singh (Indian Institute of Technology Bombay), Adit D. Singh (Auburn University) and Kewal Saluja (University of Wisconsin) Multi-Level High-Throughput Simulation for Design & Test Validation Prof. Hans-Joachim Wunderlich (University of Stuttgart, Germany 12:10-13:40 Day 2: Friday, Nov. 25, 2016 Session5: Advanced Scan Architecture (4F Sango) 13:40-14:00 A Methodology For Post-Silicon Debug Utilizing Progressive Random Access Scan Architecture Chair: Prof. Ilia Polian (University of Passau) Binod Kumar, Ankit Jindal (Indian Institute of Technology Bombay), Jaynarayan Tudu (Indian Institute of Science) and * Virendra Singh (Indian Institute of Technology Bombay) 14:00-14:15 Strongly Secure Scan Design Using Extended Shift Registers Panel Session (4F Sango) * Hiroshi Yamazaki, Toshinori Hosokawa (Nihon University) and Hideo Fujiwara (Osaka Gakuin University) 14:30-16:00 Panel: "Quo vadis high-level test?" Organizer: Prof. Jaan Raik (Tallinn UT, Estonia) Panelists: Bernd Becker (Freiburg University, Germany) Virendra Singh (Indian Institute of Technology Bombay, India) Toshinori Hosokawa (Nihon University, Japan) Artur Jutman (Testonica Lab, Estonia) 16:00-16:10 Closing and Group Photo 16:10-17:00 Tea Ceremony

3 Keynote speech (Nov. 24, 14:40-15:40) Automatic generation of test programs from high-level processor descriptions: an academic dream? Prof. Matteo Sonza Reorda (Politecnico di Torino, Italy) Abstract: The usage of functional programs to test processors is popular in several scenarios. However, these test programs often come from the re-use of application code or from random generation. In some cases, they are manually generated to achieve a given coverage value with respect to some target metric. Clearly, automating the generation process would be highly desirable, especially if we could start from a high-level description of the target processor. The talk will highlight the state of the art, identify the main obstacles and challenges, and emphasize the research opportunities in this area. Presenter: Matteo Sonza Reorda received his MS degree in Electronics (1986) and PhD degree in Computer Engineering (1990), both from Politecnico di Torino. He is a Full Professor at the Dept. of Control and Computer Engineering of the same University and leads the research group on test and fault tolerance there. He is involved in several research projects funded by public bodies and industries. He is a Fellow of IEEE. His research interests include test of SoCs and fault tolerant electronic system design.

4 Invited talk (Nov. 24, 15:40-16:10) Why Open Defects Should Be Explicitly Targeted During Test Prof. Adit D. Singh (Auburn University, USA) Abstract: New cell-aware test methods have recently received much publicity because of their success in screening significant defectivity missed by traditional stuck-at and transition delay fault (TDF) testing. For example, at ITC 2012, Hapke et al. reported 885 DPPM test escapes in a 32 nm notebook processor part despite industrial strength stuck-at and 5-detect TDF testing. Importantly, most of these test escapes were observed to cause failure in actual system application, pointing to a potentially serious field reliability issue. Careful analysis indicates that the large majority of the additional fallout from cell aware tests are open defects. In this presentation we make the case that intra gate open defects should be directly targeted during ATPG aimed at generating the most cost effective test sets. Since two-pattern tests for open defects also cover corresponding TDFs, the increase in test set size over current stuck-at and TDF is modest, while such tests can significantly improve actual defect coverage in production. Additionally, if very low defect levels are required, hazard activated opens that appear redundant in steady state signal analysis, must also be targeted. Efficient testing for these defects is more challenging and remains an open research problem. Presenter: Adit D. Singh is currently James B. Davis Professor of Electrical and Computer Engineering at Auburn University, USA. Before joining Auburn in 1991, he served on the faculty at the University of Massachusetts in Amherst, and Virginia Tech in Blacksburg. His technical interests span all aspects of VLSI technology, in particular integrated circuit test and reliability. He has published over two hundred research papers, served as a consultant to many semiconductor companies, and holds international patents that have been licensed to industry. He has had leadership roles as General Chair/Co-Chair/Program Chair for dozens of international VLSI design and test conferences and has also served on the editorial boards of several journals, including IEEE Design and Test and JETTA. He served two elected terms ( ) as Chair of the IEEE Test Technology Technical Council (TTTC), and on the Board of Governors ( ) of the IEEE Council on Design Automation (CEDA). Singh is a Fellow of IEEE and a Golden Core member of the IEEE Computer Society.

5 Invited talk (Nov. 25, 11:45-12:10) Multi-Level High-Throughput Simulation for Design & Test Validation Prof. Hans-Joachim Wunderlich (University of Stuttgart, Germany Abstract: Design and test validation is one of the most important and complex tasks within modern semi-conductor product development cycles. Both tasks analyze a design with respect to certain validation targets to ensure the compliance with given specifications or requirements, for instance timing, power, or test and product quality. The type of specification can range from abstract high-level functional behavior of the circuit down to constraints of parameters at lower levels, such as peak power consumption or transistor stress. With process scaling, not only variations but also more complex defect mechanisms have to be considered in simulation, requiring models and algorithms for analysis of effects at switch or even electrical level. Yet, state-of-the-art algorithms for the required model accuracy rely on compute-intensive simulations that do not scale to the dimensions of current and future designs. Over the past years, data-parallel architectures, such as Graphics Processing Units (GPUs), have evolved and introduced the many-core paradigm. Scalable simulation algorithms optimized for such architectures provide very high throughput allowing for the first time exhaustive timing-accurate fault simulation or switch-level simulation for large circuits, for instance. This is enabled by careful abstraction in the modeling and by tailoring the algorithmic kernels to the many-core features. Current research aims at further increasing the modeling accuracy and at hybrid approaches that employ models at different abstraction levels. Presenter: Hans-Joachim Wunderlich is a full professor and the director of the Institute of Computer Architecture and Computer Engineering at the University of Stuttgart. He studied Mathematics and Philosophy at the Universities of Konstanz and Freiburg, Germany, and received his PhD degree (Dr. rer. nat.) from the University of Karlsruhe in Since then, he has worked as a professor at the universities of Karlsruhe, Duisburg, Siegen and Stuttgart. For more than 32 years, Prof. Wunderlich contributed to the areas of VLSI testing, Design for Test, Dependability, Fault Tolerance and Design Automation. He published more than 250 books and articles in these fields, and led numerous projects funded by industry, European Commission, German government or national funding organizations like DFG. Prof. Wunderlich was recipient of the award for excellent academic teaching of the state of Baden-Württemberg, was promoted Golden Core Member of the IEEE Computer Society, and was elevated Fellow of the IEEE.

6 Panel session (Nov. 25, 14:30-16:00) "Quo vadis high-level test?" Organizer: Prof. Jaan Raik (Tallinn UT, Estonia) Panelist: Prof. Bernd Becker (Freiburg University, Germany) Prof. Virendra Singh (Indian Institute of Technology Bombay, India) Prof. Toshinori Hosokawa (Nihon University, Japan) Dr. Artur Jutman (Testonica Lab, Estonia) Abstract: The panel will focus on future trends and use cases of high-level test. The topic of higher abstraction levels to improve the scalability of test generation was a promise in 1990s and early 2000s. However, industry adoption of applying high-level test in design automation has been slow. Are there any trends that could renew the boom of high-level testing? Will there be emerging new standards to support test automation at higher abstraction levels? If so then what will be the future applications of high-level test techniques? A group of distinguished experts from the academy and industry will share there knowledge on these as well as other related questions at the WRTLT 16 panel discussion.

Keynote Speakers. Perception Technology for Automated Vehicles. Alberto Broggi

Keynote Speakers. Perception Technology for Automated Vehicles. Alberto Broggi Keynote Speakers Perception Technology for Automated Vehicles Alberto Broggi Prof., Ph.D., IEEE Fellow, director of VisLab, at the Università di Parma Abstract VisLab has been active in the field of intelligent

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

VLSI testing Introduction

VLSI testing Introduction VLSI testing Introduction Virendra Singh Associate Professor Computer Architecture and Dependable Systems Lab Dept. of Electrical Engineering Indian Institute of Technology Bombay, Mumbai viren@ee.iitb.ac.in

More information

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Ananda S.Paymode.Dnyaneshwar K.Padol. Santosh B.Lukare. Asst. Professor, Dept. of E & TC, LGNSCOE,Nashik,UO Pune, MaharashtraIndia

More information

INTERDEPENDENT CHALLENGES OF RELIABILITY, SECURITY AND QUALITY IN NANOELECTRONIC SYSTEMS DESIGN

INTERDEPENDENT CHALLENGES OF RELIABILITY, SECURITY AND QUALITY IN NANOELECTRONIC SYSTEMS DESIGN INTERDEPENDENT CHALLENGES OF RELIABILITY, SECURITY AND QUALITY IN NANOELECTRONIC SYSTEMS DESIGN 2017 2021 PhD student researcher positions (Early-Stage Researchers) www.rescue-etn.eu H2020 MSCA ITN 2016

More information

Testing Digital Systems II

Testing Digital Systems II Lecture : Introduction Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture Today s Lecture Logistics Course Outline Review from TDS I Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture Logistics

More information

ASICs Concept to Product

ASICs Concept to Product ASICs Concept to Product Synopsis This course is aimed to provide an opportunity for the participant to acquire comprehensive technical and business insight into the ASIC world. As most of these aspects

More information

Academic Course Description

Academic Course Description BEC702 Digital CMOS VLSI Academic Course Description BHARATH UNIVERSITY Faculty of Engineering and Technology Department of Electronics and Communication Engineering BEC702 Digital CMOS VLSI Seventh Semester

More information

Path Delay Test Compaction with Process Variation Tolerance

Path Delay Test Compaction with Process Variation Tolerance 50.1 Path Delay Test Compaction with Process Variation Tolerance Seiji Kajihara Masayasu Fukunaga Xiaoqing Wen Kyushu Institute of Technology 680-4 Kawazu, Iizuka, 820-8502 Japan e-mail:{kajihara, fukunaga,

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

Propagation Delay Analysis of a Soft Open Defect inside a TSV

Propagation Delay Analysis of a Soft Open Defect inside a TSV Kondo et al.: Propagation Delay Analysis (1/8) [Short Note] Propagation Delay Analysis of a Soft Open Defect inside a TSV Shohei Kondo, Hiroyuki Yotsuyanagi, and Masaki Hashizume Institute of Technology

More information

Academic Course Description. BEC702 Digital CMOS VLSI

Academic Course Description. BEC702 Digital CMOS VLSI BEC702 Digital CMOS VLSI Academic Course Description Course (catalog) description BHARATH UNIVERSITY Faculty of Engineering and Technology Department of Electronics and Communication Engineering CMOS is

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

Architecture Design and Validation Methods

Architecture Design and Validation Methods Architecture Design and Validation Methods Springer-Verlag Berlin Heidelberg GmbH Egon Börger (Ed.) Architecture Design and Validation Methods With 175 Figures, Springer Editor Prof. Dr. Egon Börger Universita

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

EDA Industry to Recognize Dr. Chenming Hu with the Phil Kaufman Award at DAC 2013

EDA Industry to Recognize Dr. Chenming Hu with the Phil Kaufman Award at DAC 2013 NEWS RELEASE For more information, contact: Kristin Steen Jennifer Cermak Public Relations for the IEEE Council on EDA EDA Consortium (512) 297-7126 (408) 283-2121 admin@ieee-ceda.com jennifer.cermak@edac.org

More information

Chapter 1 Introduction to VLSI Testing

Chapter 1 Introduction to VLSI Testing Chapter 1 Introduction to VLSI Testing 2 Goal of this Lecture l Understand the process of testing l Familiar with terms used in testing l View testing as a problem of economics 3 Introduction to IC Testing

More information

Methods for Reducing the Activity Switching Factor

Methods for Reducing the Activity Switching Factor International Journal of Engineering Research and Development e-issn: 2278-67X, p-issn: 2278-8X, www.ijerd.com Volume, Issue 3 (March 25), PP.7-25 Antony Johnson Chenginimattom, Don P John M.Tech Student,

More information

High Quality System Level Test and Diagnosis

High Quality System Level Test and Diagnosis High Quality System Level Test and Diagnosis Jutman, Artur; Sonza Reorda, Matteo; Wunderlich, Hans-Joachim Proceedings of the 23rd IEEE Asian Test Symposium (ATS 14) Hangzhou, China, 16-19 November 2014

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer Mohit Arora The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits Springer Contents 1 The World of Metastability 1 1.1 Introduction 1 1.2 Theory of Metastability 1 1.3 Metastability

More information

A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing

A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing LARISSA SOARES Federal University of Paraíba Department of Electrical Engineering Cidade Universitária, n/n João Pessoa BRAZIL

More information

A GATING SCAN CELL ARCHITECTURE FOR TEST POWER REDUCTION IN VLSI CIRCUITS Ch.Pallavi 1, M.Niraja 2, N.Revathi 3 1,2,3

A GATING SCAN CELL ARCHITECTURE FOR TEST POWER REDUCTION IN VLSI CIRCUITS Ch.Pallavi 1, M.Niraja 2, N.Revathi 3 1,2,3 A GATING SCAN CELL ARCHITECTURE FOR TEST POWER REDUCTION IN VLSI CIRCUITS Ch.Pallavi 1, M.Niraja 2, N.Revathi 3 1,2,3 Assistant Professor, Department of ECE, Siddharth Institute of Engineering & Technology,

More information

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit I J C T A, 9(15), 2016, pp. 7465-7470 International Science Press Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit B. Gobinath* and B. Viswanathan** ABSTRACT

More information

Computer Logical Design Laboratory

Computer Logical Design Laboratory Division of Computer Engineering Computer Logical Design Laboratory Tsuneo Tsukahara Professor Tsuneo Tsukahara: Yukihide Kohira Senior Associate Professor Yu Nakajima Research Assistant Software-Defined

More information

Test Automation - Automatic Test Generation Technology and Its Applications

Test Automation - Automatic Test Generation Technology and Its Applications Test Automation - Automatic Test Generation Technology and Its Applications 1. Introduction Kwang-Ting (Tim) Cheng and Angela Krstic Department of Electrical and Computer Engineering University of California

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

Exploring the Basics of AC Scan

Exploring the Basics of AC Scan Page 1 of 8 Exploring the Basics of AC Scan by Alfred L. Crouch, Inovys This in-depth discussion of scan-based testing explores the benefits, implementation, and possible problems of AC scan. Today s large,

More information

EECS 579 Fall What is Testing?

EECS 579 Fall What is Testing? EECS 579 Fall 2001 Recap Text (new): Essentials of Electronic Testing by M. Bushnell & V. Agrawal, Kluwer, Boston, 2000. Class Home Page: http://www.eecs.umich.edu/courses/eecs579 Lecture notes and other

More information

Design of CMOS Based PLC Receiver

Design of CMOS Based PLC Receiver Available online at: http://www.ijmtst.com/vol3issue10.html International Journal for Modern Trends in Science and Technology ISSN: 2455-3778 :: Volume: 03, Issue No: 10, October 2017 Design of CMOS Based

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

A Scan Shifting Method based on Clock Gating of Multiple Groups for Low Power Scan Testing

A Scan Shifting Method based on Clock Gating of Multiple Groups for Low Power Scan Testing A Scan Shifting Meod based on Clock Gating of Multiple Groups for Low Power Scan Testing Sungyoul Seo 1, Yong Lee 1, Joohwan Lee 2, Sungho Kang 1 1 Department of Electrical and Electronic Engineering,

More information

Power And Area Optimization of Pulse Latch Shift Register

Power And Area Optimization of Pulse Latch Shift Register International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 12, Issue 6 (June 2016), PP.41-45 Power And Area Optimization of Pulse Latch Shift

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

Emulating and Diagnosing IR-Drop by Using Dynamic SDF

Emulating and Diagnosing IR-Drop by Using Dynamic SDF Emulating and Diagnosing IR-Drop by Using Dynamic SDF Ke Peng *, Yu Huang **, Ruifeng Guo **, Wu-Tung Cheng **, Mohammad Tehranipoor * * ECE Department, University of Connecticut, {kpeng, tehrani}@engr.uconn.edu

More information

From design to good integrated circuits. Workshop on Affordable Design and Production of Mixed-Signal ASICs for Small and Medium Enterprises (SMEs)

From design to good integrated circuits. Workshop on Affordable Design and Production of Mixed-Signal ASICs for Small and Medium Enterprises (SMEs) Mixed Signal-ASICs: From design to good integrated circuits Workshop on Affordable Design and Production of Mixed-Signal ASICs for Small and Medium Enterprises (SMEs) Abstract When the engineer has finished

More information

Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic

Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic Low Power Multiplier Design Using Complementary Pass-Transistor Asynchronous Adiabatic Logic A.Kishore Kumar 1 Dr.D.Somasundareswari 2 Dr.V.Duraisamy 3 M.Pradeepkumar 4 1 Lecturer-Department of ECE, 3

More information

IEEE-CYBER 2018 Conference Program

IEEE-CYBER 2018 Conference Program IEEE-CYBER 2018 Conference Program July 19 (Thursday) 14:00-17:40 Workshop on Advanced Theory and Technologies in Intelligent Automation (The Residence 1) Speakers: Prof. Jianru Xue, Prof. Hong Chen, Prof.

More information

Massive Statistical Process Variations

Massive Statistical Process Variations Massive Statistical Process Variations A Grand Challenge for Testing Nanoelectronic Circuits B. Becker S. Hellebrand I. Polian B. Straube, W. Vermeiren University of Freiburg University of Paderborn University

More information

Invitation for Speech & Exhibit

Invitation for Speech & Exhibit Invitation for Speech & Exhibit The 2012 International Conference on Advanced Vehicle Technologies and Integration July 16~19, 2012 Shangri-La Hotel, Changchun Organizers: Society of Automotive Engineers

More information

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS SURVEY ND EVLUTION OF LOW-POWER FULL-DDER CELLS hmed Sayed and Hussain l-saad Department of Electrical & Computer Engineering University of California Davis, C, U.S.. STRCT In this paper, we survey various

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

GRAPHIC ERA UNIVERSITY DEHRADUN

GRAPHIC ERA UNIVERSITY DEHRADUN GRAPHIC ERA UNIVERSITY DEHRADUN Name of Department: - Electronics and Communication Engineering 1. Subject Code: TEC 2 Course Title: CMOS Analog Circuit Design 2. Contact Hours: L: 3 T: 1 P: 3. Examination

More information

FAULT SIMULATION AND TEST GENERATION FOR SMALL DELAY FAULTS. A Dissertation WANGQI QIU

FAULT SIMULATION AND TEST GENERATION FOR SMALL DELAY FAULTS. A Dissertation WANGQI QIU FAULT SIMULATION AND TEST GENERATION FOR SMALL DELAY FAULTS A Dissertation by WANGQI QIU Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements for

More information

GS Taipei Workshop 2018 Shaping the Future of an Inclusive Digital Society March 28, 2018 Venue: Ambassador Hotel Taipei

GS Taipei Workshop 2018 Shaping the Future of an Inclusive Digital Society March 28, 2018 Venue: Ambassador Hotel Taipei GS Taipei Workshop 2018 Shaping the Future of an Inclusive Digital Society March 28, 2018 Venue: Ambassador Hotel Taipei Time Program 08:30 09:00 Registration 09:00 09:30 09:30 10:15 Opening Remarks Mei-ling

More information

A Novel Test Path Selection Based on Switching Activity and Its BIST Implementation

A Novel Test Path Selection Based on Switching Activity and Its BIST Implementation A Novel Test Path Selection Based on Switching Activity and Its BIST Implementation P.Pattunarajam 1, V.Srividhya 2, Dr.Reeba Korah 3 1 Research Scholar, Dept. of ECE, Anna University, Chennai 2 PG Student,

More information

High efficiency DC-DC Buck converter architecture suitable for embedded applications using switched capacitor

High efficiency DC-DC Buck converter architecture suitable for embedded applications using switched capacitor International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 2 Issue 4 ǁ April. 2013 ǁ PP.15-19 High efficiency DC-DC Buck converter architecture suitable

More information

Meeting the Challenges of Formal Verification

Meeting the Challenges of Formal Verification Meeting the Challenges of Formal Verification Doug Fisher Synopsys Jean-Marc Forey - Synopsys 23rd May 2013 Synopsys 2013 1 In the next 30 minutes... Benefits and Challenges of Formal Verification Meeting

More information

A Design Comparison of Low Power 50 nm Technology Based Inverter with Sleep Transistor and MTCMOS Scheme

A Design Comparison of Low Power 50 nm Technology Based Inverter with Sleep Transistor and MTCMOS Scheme A Design Comparison of Low Power 50 nm Technology Based Inverter with Sleep Transistor and MTCMOS Scheme Arun Kumar Sunaniya, PhD Scholar MANIT Bhopal arun.sunaniya@gmail.com Kavita Khare Associate professor

More information

Faculty Profile. Dr. T. R. VIJAYA LAKSHMI JNTUH Faculty ID: Date of Birth: Designation:

Faculty Profile. Dr. T. R. VIJAYA LAKSHMI JNTUH Faculty ID: Date of Birth: Designation: Faculty Profile Dr. T. R. VIJAYA LAKSHMI JNTUH Faculty ID: 25150330-153821 Date of Birth: 08-12-1979 Designation: Asst. Professor Teaching Experience: 15 years E-mail ID: vijaya.chintala@mgit.ac.in AREAS

More information

Remote Sensing Science and Sensors for Agricultural Applications

Remote Sensing Science and Sensors for Agricultural Applications Remote Sensing Science and Sensors for Agricultural Applications (Feb 20-24, 2018) Venue: Indian Institute of Technology Roorkee, Roorkee-247667, Uttarakhand, India Overview... In the era of smart agriculture,

More information

Testing Digital Systems II. Problem: Fault Diagnosis

Testing Digital Systems II. Problem: Fault Diagnosis Testing Digital Systems II Lecture : Logic Diagnosis Instructor: M. Tahoori Copyright 26, M. Tahoori TDSII: Lecture Problem: Fault Diagnosis test patterns Circuit Under Diagnosis (CUD) expected response

More information

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Domino CMOS Implementation of Power Optimized and High Performance CLA adder Domino CMOS Implementation of Power Optimized and High Performance CLA adder Kistipati Karthik Reddy 1, Jeeru Dinesh Reddy 2 1 PG Student, BMS College of Engineering, Bull temple Road, Bengaluru, India

More information

Kosuke Imamura, Assistant Professor, Department of Computer Science, Eastern Washington University

Kosuke Imamura, Assistant Professor, Department of Computer Science, Eastern Washington University CURRICULUM VITAE Kosuke Imamura, Assistant Professor, Department of Computer Science, Eastern Washington University EDUCATION: PhD Computer Science, University of Idaho, December

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002 Overview ECE 3: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic and Fault Modeling Motivation Logic Modeling Model types Models at different levels of abstractions Models and definitions Fault Modeling

More information

Fault Diagnosis in Combinational Logic Circuits: A Survey

Fault Diagnosis in Combinational Logic Circuits: A Survey IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 02, 2015 ISSN (online): 2321-0613 Fault Diagnosis in Combinational Logic Circuits: A Survey Sarang S. Samangadkar 1 Shridhar

More information

Overheat protection circuit for high frequency processors

Overheat protection circuit for high frequency processors BULLETIN OF THE POLISH ACADEMY OF SCIENCES TECHNICAL SCIENCES, Vol. 60, No. 1, 2012 DOI: 10.2478/v10175-012-0009-6 Overheat protection circuit for high frequency processors M. FRANKIEWICZ and A. KOS AGH

More information

Rutgers University Assistant Teaching Professor, ECE Department, Sep Dec 2016

Rutgers University Assistant Teaching Professor, ECE Department, Sep Dec 2016 Naghmeh Karimi Assistant Professor Department of Computer Science and Electrical Engineering University of Maryland, Baltimore County (UMBC) Address: 1000 Hilltop Circle, ITE 314 Baltimore, Maryland 21250

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING 3 rd Int. Conf. CiiT, Molika, Dec.12-15, 2002 31 DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING M. Stojčev, G. Jovanović Faculty of Electronic Engineering, University of Niš Beogradska

More information

PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO

PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO PERFORMANCE COMPARISON OF DIGITAL GATES USING CMOS AND PASS TRANSISTOR LOGIC USING CADENCE VIRTUOSO Paras Gupta 1, Pranjal Ahluwalia 2, Kanishk Sanwal 3, Peyush Pande 4 1,2,3,4 Department of Electronics

More information

Failure Modes and Effect Analysis (FMEA) for Semiconductor Industry

Failure Modes and Effect Analysis (FMEA) for Semiconductor Industry Failure Modes and Effect Analysis (FMEA) for Semiconductor Industry This program is sponsored by: Kulim Hi-Tech Park Conducted by: DreamCatcher Consulting Sdn Bhd Failure Modes and Effect Analysis (FMEA)

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

Measuring Method for TSV-based Interconnect Resistance in 3D-SIC by Embedded Analog Boundar y-scan Circuit

Measuring Method for TSV-based Interconnect Resistance in 3D-SIC by Embedded Analog Boundar y-scan Circuit [Tutorial Paper] Measuring Method for TSV-based Interconnect Resistance in 3D-SIC by Embedded Analog Boundar y-scan Circuit Shuichi Kameyama*, Masayuki Baba*, Yoshinobu Higami**, and Hiroshi Takahashi**

More information

RT-level ITC 99 Benchmarks and First ATPG Results

RT-level ITC 99 Benchmarks and First ATPG Results RT-level Benchmarks RT-level ITC 99 Benchmarks and First ATPG Results Fulvio Corno Matteo Sonza Reorda Giovanni Squillero Politecnico di Torino New design flows require reducing work at the gate level

More information

Modulation Based On-Chip Ramp Generator for ADC BIST

Modulation Based On-Chip Ramp Generator for ADC BIST Modulation Based On-Chip Ramp Generator for ADC BIST WAG YOG-SHEG, WAG JI-XIAG, LAI FEG-CHAG, YE YI-ZHEG Microelectronics Center Harbin Institute of Technology 92#, Xidazhi Street, Harbin, Heilongjiang,

More information

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Nanometer technologies make designers aware

More information

SIMULATION OF EDGE TRIGGERED D FLIP FLOP USING SINGLE ELECTRON TRANSISTOR(SET)

SIMULATION OF EDGE TRIGGERED D FLIP FLOP USING SINGLE ELECTRON TRANSISTOR(SET) SIMULATION OF EDGE TRIGGERED D FLIP FLOP USING SINGLE ELECTRON TRANSISTOR(SET) Prashanth K V, Monish A G, Pavanjoshi, Madhan Kumar, KavyaS(Assistant professor) Department of Electronics and Communication

More information

Design and implementation of readout circuit on glass substrate with digital correction for touch-panel applications

Design and implementation of readout circuit on glass substrate with digital correction for touch-panel applications Design and implementation of readout circuit on glass substrate with digital correction for touch-panel applications Tzu-Ming Wang (SID Student Member) Ming-Dou Ker Abstract A readout circuit on glass

More information

WEI HUANG Curriculum Vitae

WEI HUANG Curriculum Vitae 1 WEI HUANG Curriculum Vitae 4025 Duval Road, Apt 2538 Phone: (434) 227-6183 Austin, TX 78759 Email: wh6p@virginia.edu (preferred) https://researcher.ibm.com/researcher/view.php?person=us-huangwe huangwe@us.ibm.com

More information

Introduction to CMC 3D Test Chip Project

Introduction to CMC 3D Test Chip Project Introduction to CMC 3D Test Chip Project Robert Mallard CMC Microsystems Apr 20, 2011 1 Overview of today s presentation Introduction to the project objectives CMC Why 3D chip stacking? The key to More

More information

Changjiang Yang. Computer Vision, Pattern Recognition, Machine Learning, Robotics, and Scientific Computing.

Changjiang Yang. Computer Vision, Pattern Recognition, Machine Learning, Robotics, and Scientific Computing. Changjiang Yang Mailing Address: Department of Computer Science University of Maryland College Park, MD 20742 Lab Phone: (301)405-8366 Cell Phone: (410)299-9081 Fax: (301)314-9658 Email: yangcj@cs.umd.edu

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2 Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2 1 PG student, Department of ECE, Vivekanandha College of Engineering for Women. 2 Assistant

More information

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar Testing of Complex Digital Chips Juri Schmidt Advanced Seminar - 11.02.2013 Outline Motivation Why testing is necessary Background Chip manufacturing Yield Reasons for bad Chips Design for Testability

More information

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies Veena S. Chakravarthi and Swaroop Ghosh Abstract Test power has emerged as an important design concern in nano-scaled

More information

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K.

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. Sasikala 2 1 Professor, Department of Electronics and Communication

More information

Bus Serialization for Reducing Power Consumption

Bus Serialization for Reducing Power Consumption Regular Paper Bus Serialization for Reducing Power Consumption Naoya Hatta, 1 Niko Demus Barli, 2 Chitaka Iwama, 3 Luong Dinh Hung, 1 Daisuke Tashiro, 4 Shuichi Sakai 1 and Hidehiko Tanaka 5 On-chip interconnects

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

An Efficient Method for Implementation of Convolution

An Efficient Method for Implementation of Convolution IAAST ONLINE ISSN 2277-1565 PRINT ISSN 0976-4828 CODEN: IAASCA International Archive of Applied Sciences and Technology IAAST; Vol 4 [2] June 2013: 62-69 2013 Society of Education, India [ISO9001: 2008

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

Signal Processing Techniques for Energy and Spectrum Efficient Wireless Transmitters for 4G/5G Communication

Signal Processing Techniques for Energy and Spectrum Efficient Wireless Transmitters for 4G/5G Communication Signal Processing Techniques for Energy and Spectrum Efficient Wireless Transmitters for 4G/5G Communication (December 22-26, 2017) Venue: Indian Institute of Technology Roorkee, Roorkee-247667, Uttarakhand,

More information

IEEE TENCON Region 10 Conference Nov, 2016 Marina Bay Sands, Singapore

IEEE TENCON Region 10 Conference Nov, 2016 Marina Bay Sands, Singapore IEEE TENCON 2016 Region 10 Conference 22 26 Nov, 2016 Marina Bay Sands, Singapore Conference General Chair: A Alphones IEEE Singapore Section 4 5 Mar 2016 Chiba, Japan Conference Theme for TENCON 2016

More information

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE MS. V.NIVEDITHA 1,D.MARUTHI KUMAR 2 1 PG Scholar in M.Tech, 2 Assistant Professor, Dept. of E.C.E,Srinivasa Ramanujan Institute

More information

Machinery Prognostics and Health Management. Paolo Albertelli Politecnico di Milano

Machinery Prognostics and Health Management. Paolo Albertelli Politecnico di Milano Machinery Prognostics and Health Management Paolo Albertelli Politecnico di Milano (paollo.albertelli@polimi.it) Goals of the Presentation maintenance approaches and companies that deals with manufacturing

More information

Self-Test Designs in Devices of Avionics

Self-Test Designs in Devices of Avionics International Conference on Engineering Education and Research Progress Through Partnership 2004 VŠB-TUO, Ostrava, ISSN 1562-3580 Self-Test Designs in Devices of Avionics Yun-Che WEN, Yei-Chin CHAO Tzong-Shyng

More information

Transient Response Boosted D-LDO Regulator Using Starved Inverter Based VTC

Transient Response Boosted D-LDO Regulator Using Starved Inverter Based VTC Research Manuscript Title Transient Response Boosted D-LDO Regulator Using Starved Inverter Based VTC K.K.Sree Janani, M.Balasubramani P.G. Scholar, VLSI Design, Assistant professor, Department of ECE,

More information

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE A Novel Approach of -Insensitive Null Convention Logic Microprocessor Design J. Asha Jenova Student, ECE Department, Arasu Engineering College, Tamilndu,

More information

A Comparative Study on Direct form -1, Broadcast and Fine grain structure of FIR digital filter

A Comparative Study on Direct form -1, Broadcast and Fine grain structure of FIR digital filter A Comparative Study on Direct form -1, Broadcast and Fine grain structure of FIR digital filter Jaya Bar Madhumita Mukherjee Abstract-This paper presents the VLSI architecture of pipeline digital filter.

More information

A VLSI Implementation of Three-Lift Controller Based on Verilog * Patchala Kiran Babu 1 H.Raghunath Rao 2

A VLSI Implementation of Three-Lift Controller Based on Verilog * Patchala Kiran Babu 1 H.Raghunath Rao 2 A VLSI Implementation of Three-Lift Controller Based on Verilog * Patchala Kiran Babu 1 H.Raghunath Rao 2 1 PG Student (M. Tech), Dept. of ECE, Chirala Engineering College, Chirala., A.P, India. 2 Associate

More information

Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique

Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique Ch. Mohammad Arif 1, J. Syamuel John 2 M. Tech student, Department of Electronics Engineering, VR Siddhartha Engineering College,

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

A TDC based BIST Scheme for Operational Amplifier Jun Yuan a and Wei Wang b

A TDC based BIST Scheme for Operational Amplifier Jun Yuan a and Wei Wang b Applied Mechanics and Materials Submitted: 2014-07-19 ISSN: 1662-7482, Vols. 644-650, pp 3583-3587 Accepted: 2014-07-20 doi:10.4028/www.scientific.net/amm.644-650.3583 Online: 2014-09-22 2014 Trans Tech

More information

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations Sno Projects List IEEE 1 High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations 2 A Generalized Algorithm And Reconfigurable Architecture For Efficient And Scalable

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology

Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology Shyam Sundar Sharma 1, Ravi Shrivastava 2, Nikhil Saxenna 3 1Research Scholar Dept. of ECE, ITM,

More information