Performance of Near-Ballistic Limit Carbon Nano Transistor (CNT) Circuits

Size: px
Start display at page:

Download "Performance of Near-Ballistic Limit Carbon Nano Transistor (CNT) Circuits"

Transcription

1 Performance of Near-Ballistic Limit Carbon Nano Transistor (CNT) Circuits A. A. A. Nasser 1, Moustafa H. Aly 2, Roshdy A. AbdelRassoul 3, Ahmed Khourshed 4 College of Engineering and Technology, Arab Academy for Science, Technology and Maritime Transport, Alexandria, Egypt, 1 menem_1954@yahoo.com, 2 drmosaly@gmail.com, Member of OSA, 3 roshdy@ieee.org, Senior Member, IEEE, 4 ahmedkhourshed@hotmail.com. ABSRACT: In this paper, we present a performance comparison betweeen circuits implemented using short channel MOSFETs and those using carbon nanotransistors (CNT) operating near the limit of the ballistic transport circuits. The circuits included digital applications, such as an inverter, NAND and NOR gates, and analog circuit of a folded cascode amplifier. The carbon nanotube can be used in both device fabrication and circuit interconnects as a carbon nanowire (CNW). Analysis and simulation results show that the CNT is superior from the points of view of power dissipation, frequency range and noise margins. Index Terms Carbon Nano Transistor (CNT), MOSFET, Ballistic Transport, Folded Cascode Amplifier. I. INTRODUCTION Carrier transport in semiconductors follows two main mechanisms: the driftdiffusion and ballistic mechanisms. In the first, the mean free path between collisions or scattering events is much shorter than the MOSFET device channel length (λ<< L). In this case, if the device is operating above threshold region, the drift dominates diffusion. If the device operates below threshold, the diffusion dominates. Under the above condition, one can ignore scattering completely. In this case, MOSFET operates more like a vacuum tube than a conventional semiconductor device, i.e., a thermionic emission. Modern devices work between drift-diffusion and ballistic regimes. However, drift-diffusion theory is no longer strictly valid, but it actually provides some insights that may be useful when the device dimensions scale down to the submicron range. Three categories of devices are in our concern: the carbon nano field effect transistor, the quasiballistic and the ballistic MOSFET. In this paper, we presnt the performance evaluation for both carbon nanotube and short channel MOSFET operating near the ballistic limit. In [1], it was shown experimentally that ultra long elastic scattering mean free path of about 1 µm which implies ballistic or near ballistic transport leading to mobilities in the order of 10 3 to 10 4 cm 2 /V.s. The current carrying capability is in the order of 10 9 A/cm 2 in multiwalled CNTs. This is three orders higher than the maximum current carrying capacity of copper. The above superior carrier transport and conduction characteristic makes it desirable for nanoscale electronic devices and interconnects. II. PHYSICS OF THE CARBON NANOTUBES There are two ways of making a transistor. A MOSFET-like device for which any charge demanded by the gate is supplied from the contacts. This mechanism is known as a charge modulation transistor. In the second type, there is a barrier at the contact and the transistor operates by modulating the width of the tunnel barrier. This is also known as a transmission modulation transistor. So, there are two types of carbon nanofets (CNFETS), the semiconducting and the metallic types. The graphene structure shown in Fig. 1 forms two adjacent sheets with M points representing the zero band gap (metallic CNT) and the Г points representing the band gap semiconducting CNT. The density of states for both types are shown in Fig. 2 [2, 6, 7]. Figure 2.a shows constant density of states near the Fermi-level (melallic CNT), while Fg. 2.b shows zero density of states near the Fermilevel (E=0 ev) representing the semiconducting CNT. The CNT structure can also be demonstrated by the rolled and unrolled struchers shown in Fig. 3. Typical diameters of carbon nanotubes are in the order of several nanometers. Figure 4 shows the device layout, where figure 4.a shows the structure of the metallic carbon ICCTA 2011, October 2011, Alexandria, Egypt 175

2 nanotube (MOSFET-like transistor) [2]. In this figure, the gate voltage pushes down the source to the channel barrier and allows any amount of charge demanded by the gate to enter the channel. Figure 4.b shows the structure of the semiconducting CNT (Schottky barrier transistor). In the Schottky barrier transistor, the gate voltage squeezes the barrier between the source and channel, which increases the tunneling current through the barrier. Schematic cross-section of the CNT is illustrated in Fig. 5 [2]. The device diameter is approximately 1.7 nm and the energy gap is about 0.5 ev. The insulator is formed by a hafnium dioxide having a dielectric constant of 16. The gate is a metal self aligned to the Pd drain and source contacts. The Pd provides a low Schottky barrier to the valance band. Fig. 3. Carbon nanotube: a- unrolled structure b- rolled structure [1]. (a) Fig. 1. E-k dispersion relation for graphene, calculated using a nearest-neighbour tight binding model. The three high-symmetry points are indicated by capital letters [2]. (b) Fig. 4. a- MOSFET-like transistor, b- Schottky barrier transistor [2]. (a) (b) Fig. 2. The density of states for metallic (a) and (b) semiconducting carbon nanotubes [2]. Fig. 5. Schematic cross section of the CNT[2]. ICCTA 2011, October 2011, Alexandria, Egypt 176

3 III. METRICS FOR PERFORMANCE EVALUATION AND COMPARISON OF NANOSCALE TRANSISTORS In digital circuit applications, the CMOS inverter is used to measure the performance of the MOSFETs. The performance measures are: the propagation delay related to the total load capacitance, the power dissipated, and the noise immunity. As CMOS dimensions scale deeply into the submicron (nano) range, many of the device nonideal behaviors arise. One of these nonidealities is the source drain series resistance contribution to the total resistance of the device. Another metric is the inverter effective drive current that appears to be useful in performance evaluation of nanoscale devices. This current is given by: I eff =1/2[C eff V DD ]/τpd (1) Where, Ceff is effective oxide capacitance, and τpd is the propagation delay between source and drain terminals. So, it is important to include the nonidealities in the simulation model used. It was shown in [3] that, the four point model of the inverter effective current is the model that is most correlated to the practical device operation. Throughout this paper, the analysis takes into consideration the nonideal behavior of the nanoscale devices, and the four point effective inverter current in addition to the resizing of the nmos and pmos aspect ratios to ensure the equality of the rise in fall times for both transistors. IV. MODEL DESCRIPTION The model used in this paper is shown in Fig. 6. It is known as the nine capacitance model [3]. In this model, we consider three current sources in the CNFET: a. The thermionic current contributed by the semiconducting sub-bands (I semi ) derived from the classical band theory. b- The current contributed by the metallic sub-bands (I metal ). c- The leakage current (I btbt ) caused by the band-to-band tunneling (btbt) mechanism through the semiconducting sub-bands. Fig. 6. Circuit model for the CNT [3]. Concerning I semi, for semiconducting subbands, we only consider the electron current for the nfet because the hole current is suppressed by the n-type heavily doped source/drain. The total current contributed by all substates is equal to the current flowing from the drain to the source (+k branch) minus the current flowing from the source to the drain ( k branch)[3] i.e., I semi (V ch,ds,v ch,gs ) LR J m,l (0,ΔΦ B ) +k - T RL J m,l (V ch,ds,δφ B ) -k ] (2) where V ch,ds and V ch,gs are the Fermi potential differences near the source side within the channel, ΔΦB is the channel surface-potential change with gate/drain bias, J m,l is the current contributed by the substate (m,l), T L,R and T R,L are the transmission probabilities, the factor of two is due to the double degeneracy of the subband, M and L are the numbers of subbands and substates, respectively. CNT= Q CAP =Q CNT (3) Concerning I metal, for metallic sub-bands of metallic nanotubes, the current includes both the electron and hole currents, i.e., (4) where T metal is the transmission probability, given by ICCTA 2011, October 2011, Alexandria, Egypt 177

4 ( ) (5) (10) where λ op is the optical phonon-scattering wavelength(~15 nm) and λ ap is the acoustic phonon-scattering wave length (~500 nm). It should be noted that in CNFET there exist three mechanisms for scattering [9]. These are the acoustic phonon scattering (near-elastic process), the optical phonon scattering (inelastic process), and the elastic scattering which is considered here to be independent of the carrier energy [3] (11) ] (12) With small gate bias (Em,0 >> ), the quantum capacitances due to carriers from source (+k branch) and drain (-k branch) are given by: Concerning I btbt and assuming a ballistic transport for the tunneling process, the BTBT current is approximated by the BTBT tunneling probability (T btbt ) times the maximum possible tunneling current integrated from the conduction band at the drain side up to the valance band at the source side, i.e., ] (13) ] (14) (15) (6) (16) (17) where T btbt is the transmission probability, given by ( ) ( ) where η m is a fitting parameter and F is given by: (7) (8) Is the electrical field triggering the tunneling process near the drain channel junction. The potential drop across the drainchannel junction is assumed to relax over the distance l relax. [ ] (18) (19) (20) (21) CMOS Model Equations: The long channel MOSFET small signal model including the inter electrode capacitances is shown in Fig.7 [4]. Transcapacitance Network The ac response of the CNFET device can be revealed using a controlled transfer capacitance array in between the source, drain, gate and body[3,6]. (9) Fig. 7. Small-signal MOS transistor equivalent circuit [5]. ICCTA 2011, October 2011, Alexandria, Egypt 178

5 The device transconductance is given by: (23) Due to device symmetry, (25) (24) (22) where V A is the Early voltage. Taking the body effect into consideration, the transconductance due to body effect is given by: ( ) (28) (27) (26) Where, is the Body effect coefficient, is the channel length modulation coefficient, v t is the threshold voltage, is the built-in potential, is the Fermi-potential,, C db0, are the source and drain capacitances when the source or drain are tied to the body [5]. also the same. The noise margin enhancement is about 11.5%. This nominates the CNFET to the operation at higher frequencies. Figs.13,14 show the behaviour of the CNFET through the inverter transfer characteristics, and the NAND gate input output characteristics. TABLE I COMPARISON BETWEEN DIGITAL CIRCUITS REALIZED BY CMOS AND CNFET Parameter/Device CMOS (180 nm) CNFET(32 nm) Noise Margin Low 375mV 418.7mV Noise Margin High 375mV 418.7mV Propagation Delay 10.15ps 0.2ps Power Delay 0.093pWs 0.093pWs Product Energy Delay 3.806*10-24 Js 75*10-27 Js Product Total Power Consumption 18.75mW 18.75mW A. CMOS 180nm Transistor simulation results: V. RESULTS AND DISCUSSION The described model was used to simulate three logic circuits, namely; the logic inverter, the NAND, and AND circuits for both CMOS (180 nm) and the CNFET (32 nm). The input output characteristics in both time domain and phase plane are displayed in Figs The simulation takes into account the various nonideal behaviors of the devices which include short channel and parasitic effects. In Fig.8 a severe distortion occures due to the application of nano-scale pulses to the long channel MOSFET. This distortion is much reduced when applying the same pulses to the CNFET as it is clear from Figure 12. TABLE I shows the comparison between the two transistor characteristics. As it is clear from the table, the most enhaced characteristics are the propagation delay in which the delay is reduced to more than 50 times and the energy delay product which is reduced by the same factor. This means that energy is almost the same. The total power consumption is Fig. 8. Input and output waveforms for Inverter using CMOS 180 nm Transistor. Fig. 9. VTC charactersitics for Inverter using CMOS 180 nm Transistor. ICCTA 2011, October 2011, Alexandria, Egypt 179

6 Fig. 13. VTC Characteristics for Inverter using Carbon Nano Transistor. Fig. 10. Input and output waveforms for a NAND gate using CMOS 180nm Transistor. Fig. 14. Input and output waveforms for a NAND gate using Carbon Nano Transistor. Fig. 11. Input and output waveforms for a NOR gate CMOS 180nm Transistor. B. Carbon Nano Transistor simulation results Fig. 15. Input and output waveforms for a NOR gate using Carbon Nano Transistor. Fig. 12. Input and output waveforms for Inverter using Carbon Nano Transistor. ICCTA 2011, October 2011, Alexandria, Egypt 180

7 C. Folded Cascode Amplifier D. Simulation results Fig 16. Folded Cascode Amplifier Circuit Fig 17. Transfer function (folded cascode CMOS 180nm) Another application for CMOS and CNFET is folded cascode amplifier. We summarize the simulation result in TABLE II as shown. TABLE II COMPARISON OF THE PERFORMANCE OF FOLDED CASCODE AMPLIFIER REALIZED BY CMOS AND CNFET Parameter CMOS 180nm CNFET 32nm Power µW 16.65µW dissipation Gain *10^6 Input 1.0*10^20Ω 5.0*10^11Ω Resistance Output 1.413*10^6Ω 3.681*10^10 Ω Resistance Output 28.56mV 0.37mV Noise Swing 3.62V 1.82V Fig 18. Transfer function (folded cascode CNFET 32nm) VI. CONCLUSION In this paper, we provided a quasi-analytical circuit compatible model for intrinsic ballistic CNFET. This model is seen to be very effective for various CNFET structures with a wide range of bias conditions and non ideal effects. This can be used in conventional circuit simulators. We also conclude that, the CNFET enhancement over the normal MOSFET manifests itself in the propagation delay and the noise margins, while the other characteristics are kept almost the ICCTA 2011, October 2011, Alexandria, Egypt 181

8 same. The propagation delay is reduced to more than 50 times and the energy delay product is reduced by the same factor. This means that energy is almost the same. The total power consumption is also the same. The noise margin enhancement is about 11.5%. This nominates the CNFET to the operation at higher frequencies. REFERENCES [1] EL-Muradi, M.M. Khalf-alla, K.-A.A. Shanab, W.T., Nanometer Ballistic MOSFET'S: Modeling, Simulation and Applications of Digital Circuits, XI th International Workshop on Symbolic and Numerical Methods, Modeling and Applications to Circuit Design (SM2ACD), nanotube field-effect transistors, Appl. Phys. Lett., vol. 80, no. 17, pp , Apr [8] C. Dwyer, M. Cheung, and D. J. Sorin, Semi-empirical SPICE models for carbon nanotube FET logic, in Proc. 4th IEEE Conf. Nanotechnol., pp , [9] J. Guo and M. Lundstrom, Role of phonon scattering in carbon nanotube field-effect transistors, Appl. Phys. Lett., vol. 86, no. 19, p , May [2] Jie Deng, Device Modeling and Circuit Performance Evaluation for Nanoscale Devices: Silicon Technology beyond 45 nm Node and Carbon Nanotube Field Effect Transistors Doctor of Philosophy, Stanford University, June [3] Jie Deng, H.-S. Philip Wong, A Compact SPICE Model for Carbon-Nanotube Field- Effect Transistors Including Nonidealities and Its Application-Part I: Model of the Intrinsic Channel Region IEEE Transactions on Electron Devices, vol. 54, no. 12, Dec [4]Anisur Rahman, Jing Guo, Supriyo Datta, and Mark S. Lundstrom, Theory of Ballistic Nanotransistors IEEE Transactions on Electron Devices, vol. 50, no. 9, September [5] Paul R. Gray, Paul J. Hurst, Stephen H. Lewis, Robert G. Meyer, Analysis and Design of Analog Integrated Circuits, 4 th ed., John Wiley & Sons, University of California, Berkeley, [6] J. Deng and H.-S. P.Wong, A compact SPICE model for carbon nanotube field effect transistors including non-idealities and its application Part II: Full device model and circuit performance benchmarking, IEEE Trans. Electron Devices, vol. 54, no. 12, pp , Dec [7] J. Guo, M. Lundstrom, and S. Datta, Performance projections for ballistic carbon ICCTA 2011, October 2011, Alexandria, Egypt 182

Performance Optimization of Dynamic and Domino logic Carry Look Ahead Adder using CNTFET in 32nm technology

Performance Optimization of Dynamic and Domino logic Carry Look Ahead Adder using CNTFET in 32nm technology IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 5, Ver. I (Sep - Oct. 2015), PP 30-35 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Performance Optimization of Dynamic

More information

Design and Analysis of High Frame Rate Capable Active Pixel Sensor by Using CNTFET Devices for Nanoelectronics

Design and Analysis of High Frame Rate Capable Active Pixel Sensor by Using CNTFET Devices for Nanoelectronics Design and Analysis of High Frame Rate Capable Active Pixel Sensor by Using CNTFET Devices for Nanoelectronics http://dx.doi.org/10.3991/ijes.v3i4.5185 Subrata Biswas, Poly Kundu, Md. Hasnat Kabir, Sagir

More information

Design of low threshold Full Adder cell using CNTFET

Design of low threshold Full Adder cell using CNTFET Design of low threshold Full Adder cell using CNTFET P Chandrashekar 1, R Karthik 1, O Koteswara Sai Krishna 1 and Ardhi Bhavana 1 1 Department of Electronics and Communication Engineering, MLR Institute

More information

Stanford University. Virtual-Source Carbon Nanotube Field-Effect Transistors Model. Quick User Guide

Stanford University. Virtual-Source Carbon Nanotube Field-Effect Transistors Model. Quick User Guide Stanford University Virtual-Source Carbon Nanotube Field-Effect Transistors Model Version 1.0.1 Quick User Guide Copyright The Board Trustees of the Leland Stanford Junior University 2015 Chi-Shuen Lee

More information

IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 03, 2014 ISSN (online):

IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 03, 2014 ISSN (online): IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 03, 2014 ISSN (online): 2321-0613 Implementation of Ternary Logic Gates using CNTFET Rahul A. Kashyap 1 1 Department of

More information

Alternative Channel Materials for MOSFET Scaling Below 10nm

Alternative Channel Materials for MOSFET Scaling Below 10nm Alternative Channel Materials for MOSFET Scaling Below 10nm Doug Barlage Electrical Requirements of Channel Mark Johnson Challenges With Material Synthesis Introduction Outline Challenges with scaling

More information

Dependence of Carbon Nanotube Field Effect Transistors Performance on Doping Level of Channel at Different Diameters: on/off current ratio

Dependence of Carbon Nanotube Field Effect Transistors Performance on Doping Level of Channel at Different Diameters: on/off current ratio Copyright (2012) American Institute of Physics. This article may be downloaded for personal use only. Any other use requires prior permission of the author and the American Institute of Physics. The following

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

FUNDAMENTALS OF MODERN VLSI DEVICES

FUNDAMENTALS OF MODERN VLSI DEVICES 19-13- FUNDAMENTALS OF MODERN VLSI DEVICES YUAN TAUR TAK H. MING CAMBRIDGE UNIVERSITY PRESS Physical Constants and Unit Conversions List of Symbols Preface page xi xiii xxi 1 INTRODUCTION I 1.1 Evolution

More information

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS Fourth Edition PAUL R. GRAY University of California, Berkeley PAUL J. HURST University of California, Davis STEPHEN H. LEWIS University of California,

More information

Simulation and Analysis of CNTFETs based Logic Gates in HSPICE

Simulation and Analysis of CNTFETs based Logic Gates in HSPICE Simulation and Analysis of CNTFETs based Logic Gates in HSPICE Neetu Sardana, 2 L.K. Ragha M.E Student, 2 Guide Electronics Department, Terna Engineering College, Navi Mumbai, India Abstract Conventional

More information

Performance Evaluation of CNTFET Based Ternary Basic Gates and Half Adder

Performance Evaluation of CNTFET Based Ternary Basic Gates and Half Adder Performance Evaluation of CNTFET Based Ternary Basic Gates and Half Adder Gaurav Agarwal 1, Amit Kumar 2 1, 2 Department of Electronics, Institute of Engineering and Technology, Lucknow Abstract: The shrinkage

More information

MODELLING AND IMPLEMENTATION OF SUBTHRESHOLD CURRENTS IN SCHOTTKY BARRIER CNTFETs FOR DIGITAL APPLICATIONS

MODELLING AND IMPLEMENTATION OF SUBTHRESHOLD CURRENTS IN SCHOTTKY BARRIER CNTFETs FOR DIGITAL APPLICATIONS www.arpapress.com/volumes/vol11issue3/ijrras_11_3_03.pdf MODELLING AND IMPLEMENTATION OF SUBTHRESHOLD CURRENTS IN SCHOTTKY BARRIER CNTFETs FOR DIGITAL APPLICATIONS Roberto Marani & Anna Gina Perri Electrical

More information

NAME: Last First Signature

NAME: Last First Signature UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE 130: IC Devices Spring 2003 FINAL EXAMINATION NAME: Last First Signature STUDENT

More information

Efficient CNFET-based Rectifiers for Nanoelectronics

Efficient CNFET-based Rectifiers for Nanoelectronics Efficient CNFET-based Rectifiers for Nanoelectronics Mohammad Hossein Moaiyeri Nanotechnology and Quantum Computing Lab., Shahid Keivan Navi Faculty of Electrical and Computing Engineering, Shahid Omid

More information

Design of Digital Logic Circuits using Carbon Nanotube Field Effect Transistors

Design of Digital Logic Circuits using Carbon Nanotube Field Effect Transistors International Journal of Soft Computing and Engineering (IJSCE) ISSN: 2231-2307, Volume-1, Issue-6, December 2011 Design of Digital Logic Circuits using Carbon Nanotube Field Effect Transistors Subhajit

More information

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits Saravana Maruthamuthu, Wireless Group Infineon Technologies India Private

More information

SIMULATION STUDY OF BALLISTIC CARBON NANOTUBE FIELD EFFECT TRANSISTOR

SIMULATION STUDY OF BALLISTIC CARBON NANOTUBE FIELD EFFECT TRANSISTOR SIMULATION STUDY OF BALLISTIC CARBON NANOTUBE FIELD EFFECT TRANSISTOR RAHMAT SANUDIN IEEE NATIONAL SYMPOSIUM ON MICROELECTRONICS 2005 21-24 NOVEMBER 2005 KUCHING SARAWAK Simulation Study of Ballistic Carbon

More information

Investigating the Electronic Behavior of Nano-materials From Charge Transport Properties to System Response

Investigating the Electronic Behavior of Nano-materials From Charge Transport Properties to System Response Investigating the Electronic Behavior of Nano-materials From Charge Transport Properties to System Response Amit Verma Assistant Professor Department of Electrical Engineering & Computer Science Texas

More information

d. Can you find intrinsic gain more easily by examining the equation for current? Explain.

d. Can you find intrinsic gain more easily by examining the equation for current? Explain. EECS140 Final Spring 2017 Name SID 1. [8] In a vacuum tube, the plate (or anode) current is a function of the plate voltage (output) and the grid voltage (input). I P = k(v P + µv G ) 3/2 where µ is a

More information

Analysis of Power Gating Structure using CNFET Footer

Analysis of Power Gating Structure using CNFET Footer , October 19-21, 211, San Francisco, USA Analysis of Power Gating Structure using CNFET Footer Woo-Hun Hong, Kyung Ki Kim Abstract This paper proposes a new hybrid MOSFET/ carbon nanotube FET (CNFET) power

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

ISSN Vol.06,Issue.05, August-2014, Pages:

ISSN Vol.06,Issue.05, August-2014, Pages: ISSN 2348 2370 Vol.06,Issue.05, August-2014, Pages:347-351 www.semargroup.org www.ijatir.org PG Scholar, Dept of ECE, Sreenidhi Institute of Science and Technology, Hyderabad, India. Abstract: This paper

More information

Ambipolar electronics

Ambipolar electronics Ambipolar electronics Xuebei Yang and Kartik Mohanram Department of Electrical and Computer Engineering, Rice University, Houston {xy3,mr11,kmram}@rice.edu Rice University Technical Report TREE12 March

More information

Design Methodology Based on Carbon Nanotube Field Effect Transistor(CNFET)

Design Methodology Based on Carbon Nanotube Field Effect Transistor(CNFET) Design Methodology Based on Carbon Nanotube Field Effect Transistor(CNFET) A Thesis Presented by Young Bok Kim to The Department of Department of Electrical and Computer Engineering in partial fulfillment

More information

Experimental Design of a Ternary Full Adder using Pseudo N-type Carbon Nano tube FETs.

Experimental Design of a Ternary Full Adder using Pseudo N-type Carbon Nano tube FETs. Experimental Design of a Ternary Full Adder using Pseudo N-type Carbon Nano tube FETs. Kazi Muhammad Jameel Student, Electrical and Electronic Engineering, AIUB, Dhaka, Bangladesh ---------------------------------------------------------------------***---------------------------------------------------------------------

More information

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS Fourth Edition PAUL R. GRAY University of California, Berkeley PAUL J. HURST University of California, Davis STEPHEN H. LEWIS University of California,

More information

CHAPTER 6 CARBON NANOTUBE AND ITS RF APPLICATION

CHAPTER 6 CARBON NANOTUBE AND ITS RF APPLICATION CHAPTER 6 CARBON NANOTUBE AND ITS RF APPLICATION 6.1 Introduction In this chapter we have made a theoretical study about carbon nanotubes electrical properties and their utility in antenna applications.

More information

Gallium nitride (GaN)

Gallium nitride (GaN) 80 Technology focus: GaN power electronics Vertical, CMOS and dual-gate approaches to gallium nitride power electronics US research company HRL Laboratories has published a number of papers concerning

More information

Implementation of Mod-16 Counter using Verilog-A Model of CNTFET

Implementation of Mod-16 Counter using Verilog-A Model of CNTFET Technology Volume 1, Issue 2, October-December, 2013, pp. 30-36, IASTER 2013 www.iaster.com, Online: 2347-6109, Print: 2348-0017 ABSTRACT Implementation of Mod-16 Counter using Verilog-A Model of CNTFET

More information

HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFECT TRANSISTOR

HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFECT TRANSISTOR HIGH SPEED MULTIPLE VALUED LOGIC FULL ADDER USING CARBON NANO TUBE FIELD EFFECT TRANSISTOR Ashkan Khatir 1, Shaghayegh Abdolahzadegan 2,Iman Mahmoudi Islamic Azad University,Science and Research Branch,

More information

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier Chapter 5 Operational Amplifiers and Source Followers 5.1 Operational Amplifier In single ended operation the output is measured with respect to a fixed potential, usually ground, whereas in double-ended

More information

BEHAVIORAL MODELLING OF CMOSFETs AND CNTFETs BASED LOW NOISE AMPLIFIER

BEHAVIORAL MODELLING OF CMOSFETs AND CNTFETs BASED LOW NOISE AMPLIFIER DOI: 1.21917/ijme.215.17 BEHAVIORAL MODELLING OF CMOSFETs AND CNTFETs BASED LOW NOISE AMPLIFIER Navaid Z. Rizvi 1, Rajesh Mishra 2 and Prashant Gupta 3 1,2,3 School of Information and Communication Technology,

More information

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE P a g e 80 Available online at http://arjournal.org APPLIED RESEARCH JOURNAL RESEARCH ARTICLE ISSN: 2423-4796 Applied Research Journal Vol. 3, Issue, 2, pp.80-86, February, 2017 COMPARATIVE STUDY ON SINGLE

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

Experimentally reported sub-60mv/dec

Experimentally reported sub-60mv/dec Experimentally reported sub-60mv/dec swing in Tunnel FETs? 1 We considered InAs conventional, lateral transistor architectures: GAA nanowire, Fin FETs FETs (Tri gate) UTB,DG SOI Analysis is not directly

More information

A Novel Quaternary Full Adder Cell Based on Nanotechnology

A Novel Quaternary Full Adder Cell Based on Nanotechnology I.J. Modern Education and Computer Science, 2015, 3, 19-25 Published Online March 2015 in MECS (http://www.mecs-press.org/) DOI: 10.5815/ijmecs.2015.03.03 A Novel Quaternary Full Adder Cell Based on Nanotechnology

More information

Carbon Nanotube Based Circuit Designing: A Review

Carbon Nanotube Based Circuit Designing: A Review International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 13, Issue 1 (January 2017), PP.56-61 Carbon Nanotube Based Circuit Designing: A

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

6.012 Microelectronic Devices and Circuits

6.012 Microelectronic Devices and Circuits Page 1 of 13 YOUR NAME Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology 6.012 Microelectronic Devices and Circuits Final Eam Closed Book: Formula sheet provided;

More information

CNTFET Based Energy Efficient Full Adder

CNTFET Based Energy Efficient Full Adder CNTFET Based Energy Efficient Full Adder Shaifali Ruhil 1, Komal Rohilla 2 Jyoti Sehgal 3 P.G. Student, Department of Electronics Engineering, Vaish College of Engineering, Rohtak, Haryana, India 1,2 Assistant

More information

High-speed logic integrated circuits with solutionprocessed self-assembled carbon nanotubes

High-speed logic integrated circuits with solutionprocessed self-assembled carbon nanotubes In the format provided by the authors and unedited. DOI: 10.1038/NNANO.2017.115 High-speed logic integrated circuits with solutionprocessed self-assembled carbon nanotubes 6 7 8 9 10 11 12 13 14 15 16

More information

International Journal on Emerging Technologies 6(1): 24-29(2015) ISSN No. (Print) : ISSN No. (Online) :

International Journal on Emerging Technologies 6(1): 24-29(2015) ISSN No. (Print) : ISSN No. (Online) : e t International Journal on Emerging Technologies 6(1): 24-29(2015) ISSN No. (Print) : 0975-8364 ISSN No. (Online) : 2249-3255 Simulation and Analysis of Carbon Nanotube Based cum CMOS based Folded cascode

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

An Analytical model of the Bulk-DTMOS transistor

An Analytical model of the Bulk-DTMOS transistor Journal of Electron Devices, Vol. 8, 2010, pp. 329-338 JED [ISSN: 1682-3427 ] Journal of Electron Devices www.jeldev.org An Analytical model of the Bulk-DTMOS transistor Vandana Niranjan Indira Gandhi

More information

CHAPTER 4 CARBON NANOTUBE TRASISTOR BASED LOW POWER ANALOG ELECTRONIC CIRCUITS REALIZATION

CHAPTER 4 CARBON NANOTUBE TRASISTOR BASED LOW POWER ANALOG ELECTRONIC CIRCUITS REALIZATION 123 CHAPTER 4 CARBON NANOTUBE TRASISTOR BASED LOW POWER ANALOG ELECTRONIC CIRCUITS REALIZATION 4.1 INTRODUCTION Operational amplifiers (usually referred to as OPAMPs) are key elements of the analog and

More information

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator A. T. Fathima Thuslim Department of Electronics and communication Engineering St. Peters University, Avadi, Chennai, India Abstract: Single

More information

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits Oleg Semenov, Andrzej Pradzynski * and Manoj Sachdev Dept. of Electrical and Computer Engineering,

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407 Index A Accuracy active resistor structures, 46, 323, 328, 329, 341, 344, 360 computational circuits, 171 differential amplifiers, 30, 31 exponential circuits, 285, 291, 292 multifunctional structures,

More information

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 2: Basic MOS Physics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture Semiconductor

More information

Design and Analysis of 5-T SRAM Cell in 32nm CMOS and CNTFET Technologies

Design and Analysis of 5-T SRAM Cell in 32nm CMOS and CNTFET Technologies International Journal of Electronics and Electrical Engineering Vol. 1, No. 4, December, 2013 Design and Analysis of 5-T SRAM Cell in 32nm CMOS and CNTFET Technologies G. Boopathi Raja Department of ECE,

More information

Digital Integrated Circuits - Logic Families (Part II)

Digital Integrated Circuits - Logic Families (Part II) Digital Integrated Circuits - Logic Families (Part II) MOSFET Logic Circuits MOSFETs are unipolar devices. They are simple, small in size, inexpensive to fabricate and consume less power. MOS fabrication

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

CNTFET Based Analog and Digital Circuit Designing: A Review

CNTFET Based Analog and Digital Circuit Designing: A Review International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) CNTFET Based Analog and Digital Circuit Designing: A Review Neelofer Afzal *(Department Of Electronics and Communication Engineering,

More information

Tunnel FET architectures and device concepts for steep slope switches Joachim Knoch

Tunnel FET architectures and device concepts for steep slope switches Joachim Knoch Tunnel FET architectures and device concepts for steep slope switches Joachim Knoch Institute of Semiconductor Electronics RWTH Aachen University Sommerfeldstraße 24 52074 Aachen Outline MOSFETs Operational

More information

Logic circuits based on carbon nanotubes

Logic circuits based on carbon nanotubes Available online at www.sciencedirect.com Physica E 16 (23) 42 46 www.elsevier.com/locate/physe Logic circuits based on carbon nanotubes A. Bachtold a;b;, P. Hadley a, T. Nakanishi a, C. Dekker a a Department

More information

Optimizing the Performance of Full adder, NAND by the Use of Parameters of Nano Tube Carbon Field Effect Transistor Technology

Optimizing the Performance of Full adder, NAND by the Use of Parameters of Nano Tube Carbon Field Effect Transistor Technology Optimizing the Performance of Full adder, NAND by the Use of Parameters of Nano Tube Carbon Field Effect Transistor Technology Seyedeh Somayeh Hatefinasab* Department of Computer Engineering, Payame Noor

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Kyung Ki Kim a) and Yong-Bin Kim b) Department of Electrical and Computer Engineering, Northeastern University, Boston, MA

More information

problem grade total

problem grade total Fall 2005 6.012 Microelectronic Devices and Circuits Prof. J. A. del Alamo Name: Recitation: November 16, 2005 Quiz #2 problem grade 1 2 3 4 total General guidelines (please read carefully before starting):

More information

Semiconductor Detector Systems

Semiconductor Detector Systems Semiconductor Detector Systems Helmuth Spieler Physics Division, Lawrence Berkeley National Laboratory OXFORD UNIVERSITY PRESS ix CONTENTS 1 Detector systems overview 1 1.1 Sensor 2 1.2 Preamplifier 3

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

SEMICONDUCTOR ELECTRONICS: MATERIALS, DEVICES AND SIMPLE CIRCUITS. Class XII : PHYSICS WORKSHEET

SEMICONDUCTOR ELECTRONICS: MATERIALS, DEVICES AND SIMPLE CIRCUITS. Class XII : PHYSICS WORKSHEET SEMICONDUCT ELECTRONICS: MATERIALS, DEVICES AND SIMPLE CIRCUITS Class XII : PHYSICS WKSHEET 1. How is a n-p-n transistor represented symbolically? (1) 2. How does conductivity of a semiconductor change

More information

Design and Performance Analysis of SOI and Conventional MOSFET based CMOS Inverter

Design and Performance Analysis of SOI and Conventional MOSFET based CMOS Inverter I J E E E C International Journal of Electrical, Electronics ISSN No. (Online): 2277-2626 and Computer Engineering 3(2): 138-143(2014) Design and Performance Analysis of SOI and Conventional MOSFET based

More information

Investigation of Gate Underlap Design on Linearity of Operational Transconductance Amplifier (OTA)

Investigation of Gate Underlap Design on Linearity of Operational Transconductance Amplifier (OTA) Proceedings of the World Congress on Engineering and Computer Science 20 Vol II WCECS 20, October 20-22, 20, San Francisco, USA Investigation of Underlap Design on Linearity of Operational Transconductance

More information

Atoms and Valence Electrons

Atoms and Valence Electrons Technology Overview Atoms and Valence Electrons Conduc:on and Valence Bands Energy Band Gaps in Materials Band gap N- type and P- type Doping Silicon and Adjacent Atoms PN Junc:on Forward Biased PN Junc:on

More information

Solid State Devices- Part- II. Module- IV

Solid State Devices- Part- II. Module- IV Solid State Devices- Part- II Module- IV MOS Capacitor Two terminal MOS device MOS = Metal- Oxide- Semiconductor MOS capacitor - the heart of the MOSFET The MOS capacitor is used to induce charge at the

More information

Chapter 4. CMOS Cascode Amplifiers. 4.1 Introduction. 4.2 CMOS Cascode Amplifiers

Chapter 4. CMOS Cascode Amplifiers. 4.1 Introduction. 4.2 CMOS Cascode Amplifiers Chapter 4 CMOS Cascode Amplifiers 4.1 Introduction A single stage CMOS amplifier cannot give desired dc voltage gain, output resistance and transconductance. The voltage gain can be made to attain higher

More information

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1 Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1. Introduction 2. Metal Oxide Semiconductor (MOS) logic 2.1. Enhancement and depletion mode 2.2. NMOS and PMOS inverter

More information

LOW POWER FOLDED CASCODE OTA

LOW POWER FOLDED CASCODE OTA LOW POWER FOLDED CASCODE OTA Swati Kundra 1, Priyanka Soni 2 and Anshul Kundra 3 1,2 FET, Mody Institute of Technology & Science, Lakshmangarh, Sikar-322331, INDIA swati.kundra87@gmail.com, priyankamec@gmail.com

More information

Design of Gate-All-Around Tunnel FET for RF Performance

Design of Gate-All-Around Tunnel FET for RF Performance Drain Current (µa/µm) International Journal of Computer Applications (97 8887) International Conference on Innovations In Intelligent Instrumentation, Optimization And Signal Processing ICIIIOSP-213 Design

More information

Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors

Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 5 November 2015 ISSN (online): 2349-784X Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors

More information

High performance Hetero Gate Schottky Barrier MOSFET

High performance Hetero Gate Schottky Barrier MOSFET High performance Hetero Gate Schottky Barrier MOSFET Faisal Bashir *1, Nusrat Parveen 2, M. Tariq Banday 3 1,3 Department of Electronics and Instrumentation, Technology University of Kashmir, Srinagar,

More information

Design a Low Power CNTFET-Based Full Adder Using Majority Not Function

Design a Low Power CNTFET-Based Full Adder Using Majority Not Function Design a Low Power CNTFET-Based Full Adder Using Majority Not Function Seyedehsomayeh Hatefinasab * Department of Electrical and Computer Engineering, Payame Noor University, Sari, Iran. *Corresponding

More information

Performance Evaluation of MISISFET- TCAD Simulation

Performance Evaluation of MISISFET- TCAD Simulation Performance Evaluation of MISISFET- TCAD Simulation Tarun Chaudhary Gargi Khanna Rajeevan Chandel ABSTRACT A novel device n-misisfet with a dielectric stack instead of the single insulator of n-mosfet

More information

Low-Voltage Wide Linear Range Tunable Operational Transconductance Amplifier

Low-Voltage Wide Linear Range Tunable Operational Transconductance Amplifier Low-Voltage Wide Linear Range Tunable Operational Transconductance Amplifier A dissertation submitted in partial fulfillment of the requirement for the award of degree of Master of Technology in VLSI Design

More information

Enabling CNTFET-based analog high-frequency circuit design with CCAM

Enabling CNTFET-based analog high-frequency circuit design with CCAM Enabling CNTFET-based analog high-frequency circuit design with CCAM Martin Claus 1,2, Aníbal Pacheco 2, Max Haferlach 2, Michael Schröter 2 1 Center for Advancing Electronics Dresden 2 Chair for Electron

More information

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors Contemporary Engineering Sciences, Vol. 6, 2013, no. 6, 273-284 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2013.3632 Study of Pattern Area of Logic Circuit with Tunneling Field-Effect

More information

Lecture-45. MOS Field-Effect-Transistors Threshold voltage

Lecture-45. MOS Field-Effect-Transistors Threshold voltage Lecture-45 MOS Field-Effect-Transistors 7.4. Threshold voltage In this section we summarize the calculation of the threshold voltage and discuss the dependence of the threshold voltage on the bias applied

More information

HIGH GAIN, HIGH BANDWIDTH AND LOW POWER FOLDED CASCODE OTA WITH SELF CASCODE AND DTMOS TECHNIQUE

HIGH GAIN, HIGH BANDWIDTH AND LOW POWER FOLDED CASCODE OTA WITH SELF CASCODE AND DTMOS TECHNIQUE HIGH GAIN, HIGH BANDWIDTH AND LOW POWER FOLDED CASCODE OTA WITH SELF CASCODE AND DTMOS TECHNIQUE * Kirti, ** Dr Jasdeep kaur Dhanoa, *** Dilpreet Badwal Indira Gandhi Delhi Technical University For Women,

More information

Design of Optimized Digital Logic Circuits Using FinFET

Design of Optimized Digital Logic Circuits Using FinFET Design of Optimized Digital Logic Circuits Using FinFET M. MUTHUSELVI muthuselvi.m93@gmail.com J. MENICK JERLINE jerlin30@gmail.com, R. MARIAAMUTHA maria.amutha@gmail.com I. BLESSING MESHACH DASON blessingmeshach@gmail.com.

More information

A Computational Study of Thin-Body, Double-Gate, Schottky Barrier MOSFETs

A Computational Study of Thin-Body, Double-Gate, Schottky Barrier MOSFETs IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 49, NO. 11, NOVEMBER 2002 1897 A Computational Study of Thin-Body, Double-Gate, Schottky Barrier MOSFETs Jing Guo and Mark S. Lundstrom, Fellow, IEEE Abstract

More information

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL)

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) International Journal of Electronics Engineering, (1), 010, pp. 19-3 Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) Ashutosh Nandi 1, Gaurav Saini, Amit Kumar Jaiswal

More information

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders 12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders Mr.Devanaboina Ramu, M.tech Dept. of Electronics and Communication Engineering Sri Vasavi Institute of

More information

EFFECT OF THRESHOLD VOLTAGE AND CHANNEL LENGTH ON DRAIN CURRENT OF SILICON N-MOSFET

EFFECT OF THRESHOLD VOLTAGE AND CHANNEL LENGTH ON DRAIN CURRENT OF SILICON N-MOSFET EFFECT OF THRESHOLD VOLTAGE AND CHANNEL LENGTH ON DRAIN CURRENT OF SILICON N-MOSFET A.S.M. Bakibillah Nazibur Rahman Dept. of Electrical & Electronic Engineering, American International University Bangladesh

More information

Analysis of 1=f Noise in CMOS Preamplifier With CDS Circuit

Analysis of 1=f Noise in CMOS Preamplifier With CDS Circuit IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 49, NO. 4, AUGUST 2002 1819 Analysis of 1=f Noise in CMOS Preamplifier With CDS Circuit Tae-Hoon Lee, Gyuseong Cho, Hee Joon Kim, Seung Wook Lee, Wanno Lee, and

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

INTRODUCTION: Basic operating principle of a MOSFET:

INTRODUCTION: Basic operating principle of a MOSFET: INTRODUCTION: Along with the Junction Field Effect Transistor (JFET), there is another type of Field Effect Transistor available whose Gate input is electrically insulated from the main current carrying

More information

CONTENTS. 2.2 Schrodinger's Wave Equation 31. PART I Semiconductor Material Properties. 2.3 Applications of Schrodinger's Wave Equation 34

CONTENTS. 2.2 Schrodinger's Wave Equation 31. PART I Semiconductor Material Properties. 2.3 Applications of Schrodinger's Wave Equation 34 CONTENTS Preface x Prologue Semiconductors and the Integrated Circuit xvii PART I Semiconductor Material Properties CHAPTER 1 The Crystal Structure of Solids 1 1.0 Preview 1 1.1 Semiconductor Materials

More information

Probabilistic Modelling of Performance Parameters of Carbon Nanotube Transistors

Probabilistic Modelling of Performance Parameters of Carbon Nanotube Transistors Probabilistic Modelling of Performance Parameters of Carbon Nanotube Transistors Amitesh Narayan, Snehal Mhatre, Yaman Sangar Department of Electrical and Computer Engineering, University of Wisconsin-Madison

More information

Assessing the MVS Model for Nanotransistors (August 2013)

Assessing the MVS Model for Nanotransistors (August 2013) 1 Assessing the MVS Model for Nanotransistors (August 2013) Siyang Liu, Xingshu Sun and Prof. Mark Lundstrom Abstract A simple semi-empirical compact MOSFET model has been developed, which is called MIT

More information

ECE/CoE 0132: FETs and Gates

ECE/CoE 0132: FETs and Gates ECE/CoE 0132: FETs and Gates Kartik Mohanram September 6, 2017 1 Physical properties of gates Over the next 2 lectures, we will discuss some of the physical characteristics of integrated circuits. We will

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

Full Paper ACEEE Int. J. on Control System and Instrumentation, Vol. 4, No. 2, June 2013

Full Paper ACEEE Int. J. on Control System and Instrumentation, Vol. 4, No. 2, June 2013 ACEEE Int J on Control System and Instrumentation, Vol 4, No 2, June 2013 Analys and Design of CMOS Source Followers and Super Source Follower Mr D K Shedge 1, Mr D A Itole 2, Mr M P Gajare 3, and Dr P

More information

Introduction to Electronic Devices

Introduction to Electronic Devices Introduction to Electronic Devices (Course Number 300331) Fall 2006 Dr. Dietmar Knipp Assistant Professor of Electrical Engineering Information: http://www.faculty.iubremen.de/dknipp/ Source: Apple Ref.:

More information

Digital Electronics Part II - Circuits

Digital Electronics Part II - Circuits Digital Electronics Part II - Circuits Dr. I. J. Wassell Gates from Transistors 1 Introduction Logic circuits are non-linear, consequently we will introduce a graphical technique for analysing such circuits

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information