A Novel GGNMOS Macro-Model for ESD Circuit Simulation

Size: px
Start display at page:

Download "A Novel GGNMOS Macro-Model for ESD Circuit Simulation"

Transcription

1 Chinese Journal of Electronics Vol.18, No.4, Oct A Novel GGNMOS Macro-Model for ESD Circuit Simulation JIAO Chao and YU Zhiping (Institute of Microelectronics, Tsinghua University, Beijing , China) Abstract A novel macro-model for ESD circuit with only five fitting parameters is proposed. In this model a new topology and a new multiplication factor equation are proposed as well as the extracting method. This modeling approach greatly reduces time and effort required for circuit design while making use of GGNMOS (Gate-grounded NMOS) as ESD (Electrostatic discharge) protection, which is widely used for integrated circuits to protect IOs and power rails. The DC characteristics of GGNMOS and transient behavior of GGNMOS under HBM (Human body model) stress are simulated using both our macro-model and two-dimensional device simulator, Taurus (Synopsys). Good agreement has been obtained. Key words Gate-grounded-NMOS, Electrostatic discharge, ESD modeling, Human body model, Snapback. I. Introduction Electrostatic discharge (ESD) is one of the most significant reliability concerns for modern integrated circuits. But the circuit models for devices working under ESD condition are still not widely available. This lack of suitable SPICE (Simulation program with integrated circuit emphasis) models impedes circuit designers effort in performing of entire circuit when ESD protection devices are present. With deep-sub-micron CMOS integrated circuit processes, the most popular device for ESD protection circuit is GGN- MOS. Because of the existence of snapback behavior in its DC characteristics, it is rather challenging in developing an accurate and robust circuit model. The snapback effect is, however, essential for ESD protection devices to discharge the excess power efficiently. Several works on compact modeling of ESD devices with emphasis on the snapback effects have been reported [1 5]. In Refs.[1 4] compact models are based on BSIM3. Although these models can characterize ESD devices correctly, the parameter extraction for these models is extremely difficult because of the complexity in BSIM3 parameters. In Ref.[5], a compact model for SCR (Silicon controlled rectifier) is provided. This macro-model contains a nonlinear resistor which is implemented using three pieces of linear functions, and smoothing functions have to be used to ensure the continuous derivatives of the I-V characteristics. Moreover, the convergence is poor while used in circuit without manual intervention. In recent years, Technology computer-aided design (TCAD) s of ESD elements have been accomplished to assess GGNMOS and SCRs [6,7], which has been verified that the TCAD can be used to characterize the ESD devices including both DC and transient behaviors. Therefore, the ESD device data from TCAD tools is used to verify the device modeling approach. For the device under HBM stress, the circuit and device mixed mode is used [8]. In this paper we propose a new macro-model for GGN- MOS. Because of the new proposed topology, it has only five fitting parameters and the parameter extraction is with ease. A new multiplication factor equation is introduced and integrated in the model. The model can be implemented into SPICE using the enhanced MNA (Modified nodal analysis) method [9] to improve its convergence property. Simulation results using this macro-model of DC and transient characteristics of GGNMOS are presented. The results are compared with those from using the device tool Taurus, and good agreement is achieved. II. Operational Principle of GGNMOS ESD Devices GGNMOS devices have snapback behavior in its I V characteristics. The key snapback element of the GGNMOS for ESD protection is the parasitic NPN bipolar transistor. GGN- MOS is a two-terminal configured NMOS with the gate and substrate connected to source; hence the base of the parasitic NPN is connected to the emitter through substrate resistance (Fig.1). When a big enough drain voltage is applied to a GGN- MOS which is initially in its off state, the FET together with the parasitic NPN bipolar transistor undergoes from increasing drain current to eventually an avalanche breakdown. The high electric field in the depletion region of drain-substrate junction induces avalanche current, which grows exponentially with the drain voltage. This avalanche current flows to the ground terminal through the substrate, driving the parasitic NPN base voltage up to a turn-on voltage (about 0.7V). After the parasitic NPN is turned on, the dynamic (i.e. incremental) resistance of the GGNMOS becomes negative in value, Manuscript Received Jan. 2008; Accepted May This work is supported by the National Natural Science Foundation of China (No ).

2 A Novel GGNMOS Macro-Model for ESD Circuit Simulation 631 and the snapback process happens. This snapback effect, with its low-voltage drop and high on-current, can efficiently discharge large amount of electrostatic charges on the device s drain contact. and holding current i h1, and the last is the turn-on resistance r on. Fig. 1. Cross-section of an NMOS transistor configured as GGNMOS showing the parasitic NPN transistor Fig. 3. Schematic of the DC model for ESD protection devices with snapback characteristics, where v av is the voltage drop in i av, v b and i b are the diode voltage and current respectively, r on is the turn on resistance, and g b represents the substrate conductance Shown in Fig.3, our model contains only one diode i b (Eq.(1)), one dependent source i av (Eq.(2)), and two constant resistors r on and g b. In the following equations, v av and v b is shown in Fig.3, V t is the thermal voltage, i s, k m, and v m are fitting parameters. M is the proposed multiplication factor equation and is detailed in the next section. ( ( ) ) vb i b = i s exp 1 (1) This expression is the diode rule, where i s is reverse saturation current. V t Fig. 2. I V characteristic of GGNMOS and the four features considered in our model III. The Proposed GGNMOS Macro-Model The proposed macro-model is based on the physical observation on the above GGNMOS operation principle. When a circuit is in its normal operation region, GGNMOS for ESD protection is in off state. When ESD stress occurs, voltage applied to the drain of GGNMOS rises rapidly. Avalanche breakdown will occur at the drain-substrate junction once V ds exceeds the breakdown voltage V t1. The drain-substrate junction break-down current can be modeled by a dependent current source i av which counts in the avalanche effect. The substratesource junction is a diode. The substrate resistance between the portion of substrate, which is at the drain-substrate junction, and that the source-substrate junction is denote by g b. The resistance of the drain side r on represented the main resistance after the GGNMOS breaks down. Fig.3 is the schematic of the model proposed. D denotes the drain, S the source and B the substrate. There are four features (Fig.2) on the ESD I V curve, which have been carefully considered in our model, first is the trigger point including trigger voltage v t1 and trigger current i t1, second is the snapback slope, third is the holding point including holding voltage v h1 i av = Mi b (2) M = exp[k m(v av v m)] exp( k mv m) + 1 (3) 1. Multiplication factor The multiplication factor is often written in the following form [2] : 1 M = ( ) (4) A 1 A exp 2 V d V dsat where A 1 and A 2 are fitting parameters, V dsat is the saturation voltage for MOSFET, and V d is voltage applied to drain. At low V d, M is close to 1. The drawback of Eq.(4) is convergence problems when it is implemented to circuit simulator. As V d approaches to V dsat the singularity problem occurs. In Ref.[10] the multiplication factor is improved to the expression: M = exp(k 1(V d V dsat v 1)) + exp(k 2(V d V dsat v 2)) (5) where k 1, k 2 and v 1, v 2 are fitting parameters. Although this expression can overcome the convergence problem, it introduced two more parameters which increases extract difficulty. We using the expression in Eq.(3), where the number of fitting parameters is reduce to only 2, and the accuracy is also guaranteed. Fig.4 is the comparison among the three expression. For Eq.(4), as the drain voltage approaches break down voltage the multiplication factor reaches infinity quickly which causes the SPICE simulator fail with singularity errors. Eqs.(5) and (3) both have the same trend as Eq.(4). And they both can

3 632 Chinese Journal of Electronics 2009 overcome the convergence problems since they are exponential functions. The little difference between Eqs.(5) and (3) are at the low apply voltage region. But the difference between Eqs.(5) and (3) can be neglected, because the ESD device is in its off state during low V d, Fig. 4. Multiplication factor vs. drain voltage, multiplication factor is in log scale. Figures for Eqs.(3), (4), and (5) for ESD MOS with v t1 = 6.0V are shown 2. Parameter extraction There are five parameters, which have to be extracted in this model. They are r on, g b, i s, k m, and v m. r on is the turnon resistance and it is extracted from the slope of the line in Fig.2. g b reflects the snapback slope in the I-V curve and its value can be calculated using Eq.(6). g b = i h1 i t1 v t1 v h1 (6) where i s represents the reverse saturation current due to diffusion of holes. The expression for i s can be found from the basic bipolar model [11], it is given by Eq.(7) i s = q n2 i A E D p N E L pe (7) where n i is the intrinsic concentration, A E is the effective emitter area of the parasitic transistor, D p is the effective diffusion constant for holes in the emitter, N E is the emitter concentration, and L pe is the hole diffusion length. After assuming the turn-on voltage v on 0.7V for the diode, the value for k m and v m can be calculated using Eqs.(8) and (9) v m = v h1 v on (8) k m = ln it1 + von/vt v t1 v m (9) IV. Results and Discussion We simulated the GGNMOS with different channel length using two-dimensional device Simulator Taurus and compared the TCAD results with that of our model. Good agreement is obtained. The cross-section of the simulated device is shown in Fig.5. The substrate, source and gate are connected to ground, and drain is the ESD stress input. The comparison between our model and the simulated data is demonstrated in Fig.6 to Fig.8 which represents the snapback I-V characteristics of GGNMOS with different channel length. The GGNMOS in Fig.6 has the channel length of 0.13µm, in Fig.7 has the channel length of 0.18µm and in Fig.8 has the channel length of 0.25µm. It is clearly found that our model can accurately describe snapback behaviors of the GGNMOS under ESD events. Fig. 5. The GGNMOS structure for two-dimensional device It is found that the trigger voltage decreases with reduced gate length. So is the holding voltage. The model developed can precisely describe the four features demonstrated in Fig.2. Since our model has only five parameters needed to be extracted, the parameter extraction process is extremely straightforward. Because SCR devices have the analogous I V curve with GGNMOS, our model can also be easily applied to SCRs. The characteristics of GGNMOS device under HBM stress are also compared between Taurus and our model. The schematic is shown in Fig.9. Fig. 6. Snapback I V characteristics of 0.13µm from our model and device Fig. 7. Snapback I V characteristics of 0.18µm from our model and device Fig. 8. Snapback I V characteristics of 0.25µm from our model and device

4 A Novel GGNMOS Macro-Model for ESD Circuit Simulation 633 Fig. 9. 2kV HBM stress sub-circuit for Spice and Taurus A 2kV HBM stress is performed to the drain of GGNMOS ESD protection device. The results are shown in Fig.11. Symbolic is the result simulated using Taurus, and line is simulated using our model. To catch the transient characteristics of the GGNMOS device, capacitors are added to our model. There are two capacitors that affect the transient process. First is the gate-substrate capacitance, and then is the gate-drain capacitance (Fig.10). The effect of capacitance is noticeable only when the current of the device is small that is before the GGNMOS is turned on. And therefore the capacitance for C gd and C gb when the drain voltage equals zero can be used in this model. For gate-substrate capacitance, it can be calculate using the equation C gb = W LC ox. For gate-drain capacitance, it mainly consists of gate-drain overlap capacitance and can be calculate by C gd = 0.6X jw C ox [12]. Where C ox is the gate capacitance per unit area, W is gate width, L is gate length, and X j is the junction depth of drain/source. Fig.11 shows the results of our model and Taurus. It is clear that before the applied voltage reached the trigger voltage v t1, the two results make a good matched. After the device triggered on, the device exhibits NDR (Negative dynamic resistance) and it undergoes a non-quasi-static process, then the results can vary because of a very small parasitic value. So the results of this region are not very reliable both for our model and Taurus. When GGNMOS passed its NDR region, the applied voltage continued to increase, and it is found in Fig.11 that the two results matched again. The results shown in Fig.11 and Fig.12 demonstrate that the model give good agreement with the Taurus in the reasonable regions. Fig. 10. Transient model of GGNMOS and its combination with cross-section of MOSFET V. Conclusion A macro-model that consists of only one diode, one dependent current source, and two resistors, is proposed. Due to the new proposed topology, it has only five parameters that have to be extracted, and the parameter extraction is straightforward. In this model, a new multiplication factor equation is introduced. The new equation reduces the number of fitting parameters for multiplication factor from 4 to 2. This modeling approach can improve the design efficiency for making use of GGNMOS as ESD protection circuit. The new macro-model can precisely describe the I-V curve for GGNMOS. The transient for the drain side of GGNMOS under HBM stress is performed, and a good agreement is achieved in the reasonable region. That means by adding the expected capacitors the model can describe the transient characteristics of GGNMOS as well. References [1] X.F. Gao, J.J. Liou, J. Bemier, G. Croft and A. Ortiz-Conde, Implementation of a comprehensive and robust MOSFET model in cadence SPICE for ESD applications, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Fig. 11. Comparison of Taurus results and our model, they are transient voltages on the drain of ESD MOS device during 2kV HBM pulse Fig. 12. Comparison of Taurus results and our model, they are transient currents on the drain of ESD MOS device during 2kV HBM pulse Systems, Vol.21, No.12, pp , [2] A. Amerasekera, S. Ramaswamy, M.C. Chang and C. Duvvury, Modeling MOS snapback and parasitic bipolar action for circuit-level ESD and high current s, Proc. of IEEE International Reliability Physics Symposium, Dallas, Texas, USA, pp , [3] Y. Zhou, D. Connerney, R. Carroll and T. Luk, Modeling MOS snapback for circuit-level ESD using BSIM3 and VBIC models, Proc. of International Symposium on Quality of Electronic Design (ISQED), San Jose, CA, USA, pp , [4] J. Li, S. Joshi, R. Barnes and E. Rosenbaum, Compact modeling of on-chip ESD protection devices using Verilog-A, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol.25, No.6, pp , [5] P.A. Juliano and E. Rosenbaum, A novel SCR macromodel for ESD circuit, Proc. of International Electron Devices Meeting (IEDM), Washington, D.C., USA, pp , [6] J.A. Salcedo, J.J. Liou, L. Zhiwei and J.E. Vinson, TCAD methodology for design of SCR devices for electrostatic discharge (ESD) applications, IEEE Transactions on Electron Devices, Vol.54, No.4, pp , [7] H. Feng, G. Chen, R. Zhan, Q. Wu, X. Guan, H. Xie, A.Z.H. Wang and R. Gafiteanu, A mixed-mode ESD protection circuit -design methodology, IEEE Journal of Solid-State

5 634 Chinese Journal of Electronics 2009 Circuits, Vol.38, No.6, pp , [8] Taurus Device User Guide, Synopsys, Inc., USA, [9] C. Jiao and Z. Yu, A robust novel techniqe for SPICE of ESD snapback characteristics, Chinese Journal of Electronics, Vol.17, No.1, pp.71 74, [10] S.L. Lim, X.Y. Zhang, Z. Yu, S. Beebe and R.W. Dutton, A computationally stable quasi-empirical compact model for the of MOS breakdown in ESD-protection circuit design, Proc. of International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Cambridge, MA, USA, pp , [11] D.P. Kennedy and J.A. Phillips, Device Electronics for Integrated Circuits, John Wiley & Sons, New York, USA, [12] W. Liu, X. Jin, J. Chen, M.C. Jeng, Z. Liu, Y. Cheng, K. Chen, M. Chan, K. Hui, J. Huang, R. Tu, P.K. Ko and C. Hu, BSIM3v3.2.2 MOSFET Model Users Manual, University of California at Berkeley, USA, JIAO Chao received the B.S. degree from Tsinghua University, Beijing, China, in He is currently working toward the Ph.D. degree in microelectronics at Tsinghua University. His research interests include modeling and designing of electrostatic discharge devices especially in RFICs. ( jiaochao99@mails.tsinghua.edu.cn) YU Zhiping graduated from Tsinghua University, Beijing, China, in 1967 with B.S. degree. He received M.S. and Ph.D. degrees from Stanford University, Stanford, CA, USA in 1980, and 1985, respectively. He is presently a professor in the Institute of Microelectronics, Tsinghua University, Beijing, China. From 1989 to 2002, he has been a senior research scientist in the Department of Electrical Engineering in Stanford University, while serving as a faculty member in Tsinghua University. Between 2003 and 2005, he held Pericom (San Jose, USA) Microelectronics Professorship and from 2006 on (for three years) he holds Novellus (San Jose, USA) Microelectronics Professorship. His research interests include device for nanoscale MOSFETs, quantum transport in nanoelectronic devices, compact circuit modeling of passive and active components in RF CMOS, and numerical analysis techniques. Dr. Yu has published more than 200 technical papers and is the co-author of a book on TCAD (Technology CAD) in English. A co-authored book on RF CMOS circuit design (in Chinese) was published by Tsinghua University Press in He is a senior member of IEEE and served as the Associate Editor of IEEE Trans. CAD of IC & Systems (ICCAD) from 1996 to He is a member of Modeling and Simulation Subcommittee for IEDM. He serves as a member of IEEE EDS Nanotechnology Committee from 2006.

ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology

ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology Chih-Ting Yeh (1, 2) and Ming-Dou Ker (1, 3) (1) Department

More information

Modeling Snapback and Rise-Time Effects in TLP Testing for ESD MOS Devices Using BSIM3 and VBIC Models

Modeling Snapback and Rise-Time Effects in TLP Testing for ESD MOS Devices Using BSIM3 and VBIC Models Modeling Snapback and Rise-Time Effects in TLP Testing for ESD MOS Devices Using BSIM3 and VBIC Models, Duane Connerney, Ronald Carroll, Timwah Luk Fairchild Semiconductor, South Portland, ME 04106 1 Outline

More information

EFFECT OF THRESHOLD VOLTAGE AND CHANNEL LENGTH ON DRAIN CURRENT OF SILICON N-MOSFET

EFFECT OF THRESHOLD VOLTAGE AND CHANNEL LENGTH ON DRAIN CURRENT OF SILICON N-MOSFET EFFECT OF THRESHOLD VOLTAGE AND CHANNEL LENGTH ON DRAIN CURRENT OF SILICON N-MOSFET A.S.M. Bakibillah Nazibur Rahman Dept. of Electrical & Electronic Engineering, American International University Bangladesh

More information

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 831 A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design Gerhard Knoblinger, Member, IEEE,

More information

PHYSICS-BASED THRESHOLD VOLTAGE MODELING WITH REVERSE SHORT CHANNEL EFFECT

PHYSICS-BASED THRESHOLD VOLTAGE MODELING WITH REVERSE SHORT CHANNEL EFFECT Journal of Modeling and Simulation of Microsystems, Vol. 2, No. 1, Pages 51-56, 1999. PHYSICS-BASED THRESHOLD VOLTAGE MODELING WITH REVERSE SHORT CHANNEL EFFECT K-Y Lim, X. Zhou, and Y. Wang School of

More information

Cathode Side Engineering to Raise Holding Voltage of SCR in a 0.5-μm 24 V CDMOS Process

Cathode Side Engineering to Raise Holding Voltage of SCR in a 0.5-μm 24 V CDMOS Process JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.6, DECEMBER, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.6.601 ISSN(Online) 2233-4866 Cathode Side Engineering to Raise

More information

MODELING AND CHARACTERIZATION OF SUBSTRATE RESISTANCE FOR DEEP SUBMICRON ESD PROTECTION DEVICES

MODELING AND CHARACTERIZATION OF SUBSTRATE RESISTANCE FOR DEEP SUBMICRON ESD PROTECTION DEVICES MODELING AND CHARACTERIZATION OF SUBSTRATE RESISTANCE FOR DEEP SUBMICRON ESD PROTECTION DEVICES A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES

More information

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 2: Basic MOS Physics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture Semiconductor

More information

An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application

An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application Progress In Electromagnetics Research Letters, Vol. 66, 99 104, 2017 An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application Lang Chen 1, * and Ye-Bing Gan 1, 2 Abstract A novel asymmetrical single-pole

More information

Electrostatic Test Structures for Transmission Line Pulse and Human Body Model Testing at Wafer Level

Electrostatic Test Structures for Transmission Line Pulse and Human Body Model Testing at Wafer Level Electrostatic Test Structures for Transmission Line Pulse and Human Body Model Testing at Wafer Level Robert Ashton 1, Stephen Fairbanks 2, Adam Bergen 1, Evan Grund 3 1 Minotaur Labs, Mesa, Arizona, USA

More information

A Comparison Study of Input ESD Protection Schemes Utilizing NMOS, Thyristor, and Diode Devices

A Comparison Study of Input ESD Protection Schemes Utilizing NMOS, Thyristor, and Diode Devices Communication and Network, 2010, 2, 11-25 doi: 10.4236/cn.2010.21002 Published Online February 2010 (http://www.scirp.org/journal/cn) 11 A Comparison Study of Input ESD Protection Schemes Utilizing NMOS,

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

Structure Optimization of ESD Diodes for Input Protection of CMOS RF ICs

Structure Optimization of ESD Diodes for Input Protection of CMOS RF ICs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.401 ISSN(Online) 2233-4866 Structure Optimization of ESD Diodes for

More information

NAME: Last First Signature

NAME: Last First Signature UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE 130: IC Devices Spring 2003 FINAL EXAMINATION NAME: Last First Signature STUDENT

More information

Department of Electrical Engineering IIT Madras

Department of Electrical Engineering IIT Madras Department of Electrical Engineering IIT Madras Sample Questions on Semiconductor Devices EE3 applicants who are interested to pursue their research in microelectronics devices area (fabrication and/or

More information

EE70 - Intro. Electronics

EE70 - Intro. Electronics EE70 - Intro. Electronics Course website: ~/classes/ee70/fall05 Today s class agenda (November 28, 2005) review Serial/parallel resonant circuits Diode Field Effect Transistor (FET) f 0 = Qs = Qs = 1 2π

More information

An Analytical model of the Bulk-DTMOS transistor

An Analytical model of the Bulk-DTMOS transistor Journal of Electron Devices, Vol. 8, 2010, pp. 329-338 JED [ISSN: 1682-3427 ] Journal of Electron Devices www.jeldev.org An Analytical model of the Bulk-DTMOS transistor Vandana Niranjan Indira Gandhi

More information

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism;

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; Chapter 3 Field-Effect Transistors (FETs) 3.1 Introduction Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; The concept has been known

More information

UNIT 3: FIELD EFFECT TRANSISTORS

UNIT 3: FIELD EFFECT TRANSISTORS FIELD EFFECT TRANSISTOR: UNIT 3: FIELD EFFECT TRANSISTORS The field effect transistor is a semiconductor device, which depends for its operation on the control of current by an electric field. There are

More information

A novel high performance 3 VDD-tolerant ESD detection circuit in advanced CMOS process

A novel high performance 3 VDD-tolerant ESD detection circuit in advanced CMOS process LETTER IEICE Electronics Express, Vol.14, No.21, 1 10 A novel high performance 3 VDD-tolerant ESD detection circuit in advanced CMOS process Xiaoyun Li, Houpeng Chen a), Yu Lei b), Qian Wang, Xi Li, Jie

More information

Solid State Devices- Part- II. Module- IV

Solid State Devices- Part- II. Module- IV Solid State Devices- Part- II Module- IV MOS Capacitor Two terminal MOS device MOS = Metal- Oxide- Semiconductor MOS capacitor - the heart of the MOSFET The MOS capacitor is used to induce charge at the

More information

Design and Performance Analysis of SOI and Conventional MOSFET based CMOS Inverter

Design and Performance Analysis of SOI and Conventional MOSFET based CMOS Inverter I J E E E C International Journal of Electrical, Electronics ISSN No. (Online): 2277-2626 and Computer Engineering 3(2): 138-143(2014) Design and Performance Analysis of SOI and Conventional MOSFET based

More information

Power Semiconductor Devices

Power Semiconductor Devices TRADEMARK OF INNOVATION Power Semiconductor Devices Introduction This technical article is dedicated to the review of the following power electronics devices which act as solid-state switches in the circuits.

More information

Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2 Basic MOS theory & SPICE simulation Peter Cheung Department of Electrical & Electronic Engineering Imperial College London (Weste&Harris,

More information

Topic 2. Basic MOS theory & SPICE simulation

Topic 2. Basic MOS theory & SPICE simulation Topic 2 Basic MOS theory & SPICE simulation Peter Cheung Department of Electrical & Electronic Engineering Imperial College London (Weste&Harris, Ch 2 & 5.1-5.3 Rabaey, Ch 3) URL: www.ee.ic.ac.uk/pcheung/

More information

Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2 Basic MOS theory & SPICE simulation Peter Cheung Department of Electrical & Electronic Engineering Imperial College London (Weste&Harris,

More information

Sub-Threshold Region Behavior of Long Channel MOSFET

Sub-Threshold Region Behavior of Long Channel MOSFET Sub-threshold Region - So far, we have discussed the MOSFET behavior in linear region and saturation region - Sub-threshold region is refer to region where Vt is less than Vt - Sub-threshold region reflects

More information

FUNDAMENTALS OF MODERN VLSI DEVICES

FUNDAMENTALS OF MODERN VLSI DEVICES 19-13- FUNDAMENTALS OF MODERN VLSI DEVICES YUAN TAUR TAK H. MING CAMBRIDGE UNIVERSITY PRESS Physical Constants and Unit Conversions List of Symbols Preface page xi xiii xxi 1 INTRODUCTION I 1.1 Evolution

More information

THE positive feedback from inhomogeneous temperature

THE positive feedback from inhomogeneous temperature 1428 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 9, SEPTEMBER 1998 Characterization of RF Power BJT and Improvement of Thermal Stability with Nonlinear Base Ballasting Jaejune Jang, Student Member,

More information

Lesson 5. Electronics: Semiconductors Doping p-n Junction Diode Half Wave and Full Wave Rectification Introduction to Transistors-

Lesson 5. Electronics: Semiconductors Doping p-n Junction Diode Half Wave and Full Wave Rectification Introduction to Transistors- Lesson 5 Electronics: Semiconductors Doping p-n Junction Diode Half Wave and Full Wave Rectification Introduction to Transistors- Types and Connections Semiconductors Semiconductors If there are many free

More information

A Novel Integrated Circuit Driver for LED Lighting

A Novel Integrated Circuit Driver for LED Lighting Circuits and Systems, 014, 5, 161-169 Published Online July 014 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.436/cs.014.57018 A Novel Integrated Circuit Driver for LED Lighting Yanfeng

More information

EE301 Electronics I , Fall

EE301 Electronics I , Fall EE301 Electronics I 2018-2019, Fall 1. Introduction to Microelectronics (1 Week/3 Hrs.) Introduction, Historical Background, Basic Consepts 2. Rewiev of Semiconductors (1 Week/3 Hrs.) Semiconductor materials

More information

I. INTRODUCTION. either Tee or Pi circuit configurations can be used [1] [4]. Though the Tee circuit

I. INTRODUCTION. either Tee or Pi circuit configurations can be used [1] [4]. Though the Tee circuit I. INTRODUCTION FOR the small-signal modeling of hetero junction bipolar transistor (HBT), either Tee or Pi circuit configurations can be used [1] [4]. Though the Tee circuit reflects the device physics

More information

Process and Layout Dependent Substrate Resistance Modeling for Deep Sub-Micron ESD Protection Devices

Process and Layout Dependent Substrate Resistance Modeling for Deep Sub-Micron ESD Protection Devices Process and Layout Dependent Substrate Resistance Modeling for Deep Sub-Micron ESD Protection Devices Xin Y. Zhang, Kaustav Banerjee, Ajith Amerasekera*, Vikas Gupta*, Zhiping Yu, and Robert W. Dutton

More information

Design cycle for MEMS

Design cycle for MEMS Design cycle for MEMS Design cycle for ICs IC Process Selection nmos CMOS BiCMOS ECL for logic for I/O and driver circuit for critical high speed parts of the system The Real Estate of a Wafer MOS Transistor

More information

Education on CMOS RF Circuit Reliability

Education on CMOS RF Circuit Reliability Education on CMOS RF Circuit Reliability Jiann S. Yuan 1 Abstract This paper presents a design methodology to study RF circuit performance degradations due to hot carrier and soft breakdown. The experimental

More information

DESIGN AND ANALYSIS OF SUB 1-V BANDGAP REFERENCE (BGR) VOLTAGE GENERATORS FOR PICOWATT LSI s.

DESIGN AND ANALYSIS OF SUB 1-V BANDGAP REFERENCE (BGR) VOLTAGE GENERATORS FOR PICOWATT LSI s. http:// DESIGN AND ANALYSIS OF SUB 1-V BANDGAP REFERENCE (BGR) VOLTAGE GENERATORS FOR PICOWATT LSI s. Shivam Mishra 1, K. Suganthi 2 1 Research Scholar in Mech. Deptt, SRM University,Tamilnadu 2 Asst.

More information

Device Technologies. Yau - 1

Device Technologies. Yau - 1 Device Technologies Yau - 1 Objectives After studying the material in this chapter, you will be able to: 1. Identify differences between analog and digital devices and passive and active components. Explain

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

UNIT-1 Bipolar Junction Transistors. Text Book:, Microelectronic Circuits 6 ed., by Sedra and Smith, Oxford Press

UNIT-1 Bipolar Junction Transistors. Text Book:, Microelectronic Circuits 6 ed., by Sedra and Smith, Oxford Press UNIT-1 Bipolar Junction Transistors Text Book:, Microelectronic Circuits 6 ed., by Sedra and Smith, Oxford Press Figure 6.1 A simplified structure of the npn transistor. Microelectronic Circuits, Sixth

More information

6.012 Microelectronic Devices and Circuits

6.012 Microelectronic Devices and Circuits Page 1 of 13 YOUR NAME Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology 6.012 Microelectronic Devices and Circuits Final Eam Closed Book: Formula sheet provided;

More information

Avalanche Ruggedness of 800V Lateral IGBTs in Bulk Si

Avalanche Ruggedness of 800V Lateral IGBTs in Bulk Si Avalanche Ruggedness of 800V Lateral IGBTs in Bulk Si Gianluca Camuso 1, Nishad Udugampola 2, Vasantha Pathirana 2, Tanya Trajkovic 2, Florin Udrea 1,2 1 University of Cambridge, Engineering Department

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 5: Transistor Models Projects Groups of 3 Proposals in two weeks (2/20) Topics: Soft errors in datapaths Soft errors in memory Integration

More information

Lecture 16: MOS Transistor models: Linear models, SPICE models. Context. In the last lecture, we discussed the MOS transistor, and

Lecture 16: MOS Transistor models: Linear models, SPICE models. Context. In the last lecture, we discussed the MOS transistor, and Lecture 16: MOS Transistor models: Linear models, SPICE models Context In the last lecture, we discussed the MOS transistor, and added a correction due to the changing depletion region, called the body

More information

Compact Modeling of Silicon Carbide Lateral FETs for High Temperature Analog and Digital Circuits

Compact Modeling of Silicon Carbide Lateral FETs for High Temperature Analog and Digital Circuits Compact Modeling of Silicon Carbide Lateral FETs for High Temperature Analog and Digital Circuits Avinash S. Kashyap Cheng-Po Chen Vinayak Tilak GE Global Research Center 12/7/2011 Overview Program Objectives:

More information

IN NANOSCALE CMOS technology, the gate oxide thickness

IN NANOSCALE CMOS technology, the gate oxide thickness 3456 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 59, NO. 12, DECEMBER 2012 Resistor-Less Design of Power-Rail ESD Clamp Circuit in Nanoscale CMOS Technology Chih-Ting Yeh, Student Member, IEEE, and Ming-Dou

More information

Design and Performance Analysis of Low Power RF Operational Amplifier using CMOS and BiCMOS Technology

Design and Performance Analysis of Low Power RF Operational Amplifier using CMOS and BiCMOS Technology Proc. of Int. Conf. on Recent Trends in Information, Telecommunication and Computing, ITC Design and Performance Analysis of Low Power RF Operational Amplifier using CMOS and BiCMOS Technology A. Baishya

More information

Verification Structures for Transmission Line Pulse Measurements

Verification Structures for Transmission Line Pulse Measurements Verification Structures for Transmission Line Pulse Measurements R.A. Ashton Agere Systems, 9333 South John Young Parkway, Orlando, Florida, 32819 USA Phone: 44-371-731; Fax: 47-371-777; e-mail: rashton@agere.com

More information

Streamlined Design of SiGe Based Power Amplifiers

Streamlined Design of SiGe Based Power Amplifiers ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 13, Number 1, 2010, 22 32 Streamlined Design of SiGe Based Power Amplifiers Mladen BOŽANIĆ1, Saurabh SINHA 1, Alexandru MÜLLER2 1 Department

More information

ESD Protection Design With Extra Low-Leakage-Current Diode String for RF Circuits in SiGe BiCMOS Process

ESD Protection Design With Extra Low-Leakage-Current Diode String for RF Circuits in SiGe BiCMOS Process Final Manuscript for TDMR-2006-01-0003 ESD Protection Design With Extra Low-Leakage-Current Diode String for RF Circuits in SiGe BiCMOS Process Ming-Dou Ker, Senior Member, IEEE, Yuan-Wen Hsiao, Student

More information

Impact of Gate Direct Tunneling Current on Circuit Performance: A Simulation Study

Impact of Gate Direct Tunneling Current on Circuit Performance: A Simulation Study IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 48, NO. 12, DECEMBER 2001 2823 Impact of Gate Direct Tunneling Current on Circuit Performance: A Simulation Study Chang-Hoon Choi, Student Member, IEEE, Ki-Young

More information

Lecture 31 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 25, 2007

Lecture 31 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 25, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 31-1 Lecture 31 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 25, 2007 Contents: 1. Short-channel effects

More information

Lecture 190 CMOS Technology, Compatible Devices (10/28/01) Page 190-1

Lecture 190 CMOS Technology, Compatible Devices (10/28/01) Page 190-1 Lecture 190 CMOS Technology, Compatible Devices (10/28/01) Page 190-1 LECTURE 190 CMOS TECHNOLOGY-COMPATIBLE DEVICES (READING: Text-Sec. 2.9) INTRODUCTION Objective The objective of this presentation is

More information

Digital Electronics. By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology

Digital Electronics. By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology K. N. Toosi University of Technology Chapter 7. Field-Effect Transistors By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology http://wp.kntu.ac.ir/faradji/digitalelectronics.htm

More information

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS ABSTRACT J.Shailaja 1, Y.Priya 2 1 ECE Department, Sphoorthy Engineering College (India) 2 ECE,Sphoorthy Engineering College, (India) The

More information

A Failure Levels Study of Non-Snapback ESD Devices for Automotive Applications

A Failure Levels Study of Non-Snapback ESD Devices for Automotive Applications A Failure Levels Study of Non-Snapback ESD Devices for Automotive Applications Yiqun Cao [1, ], Ulrich Glaser [1], Stephan Frei [] and Matthias Stecher [1] [1] Infineon Technologies, Am Campeon 1, 85579,

More information

Experiment #7 MOSFET Dynamic Circuits II

Experiment #7 MOSFET Dynamic Circuits II Experiment #7 MOSFET Dynamic Circuits II Jonathan Roderick Introduction The previous experiment introduced the canonic cells for MOSFETs. The small signal model was presented and was used to discuss the

More information

sensors ISSN

sensors ISSN Sensors 2008, 8, 3150-3164; DOI: 10.3390/s8053150 OPEN ACCESS sensors ISSN 1424-8220 www.mdpi.org/sensors Article A Low-Cost CMOS Programmable Temperature Switch Yunlong Li and Nanjian Wu * National Laboratory

More information

RF-CMOS Performance Trends

RF-CMOS Performance Trends 1776 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 48, NO. 8, AUGUST 2001 RF-CMOS Performance Trends Pierre H. Woerlee, Mathijs J. Knitel, Ronald van Langevelde, Member, IEEE, Dirk B. M. Klaassen, Luuk F.

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

Electrostatic Discharge Protection Devices for CMOS I/O Ports

Electrostatic Discharge Protection Devices for CMOS I/O Ports Electrostatic Discharge Protection Devices for CMOS I/O Ports by Qing Li A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for the degree of Master of Applied Science

More information

Accuracy and Speed Performance of HiSIM Versions 231 and 240

Accuracy and Speed Performance of HiSIM Versions 231 and 240 Accuracy and Speed Performance of HiSIM Versions 231 and 240 H.J. Mattausch, M. Miura-Mattausch, N. Sadachika, M. Miyake Graduate School of Advanced Sciences of Matter, Hiroshima University T. Iizuka NEC

More information

Performance Evaluation of MISISFET- TCAD Simulation

Performance Evaluation of MISISFET- TCAD Simulation Performance Evaluation of MISISFET- TCAD Simulation Tarun Chaudhary Gargi Khanna Rajeevan Chandel ABSTRACT A novel device n-misisfet with a dielectric stack instead of the single insulator of n-mosfet

More information

Digital Integrated Circuits A Design Perspective. The Devices. Digital Integrated Circuits 2nd Devices

Digital Integrated Circuits A Design Perspective. The Devices. Digital Integrated Circuits 2nd Devices Digital Integrated Circuits A Design Perspective The Devices The Diode The diodes are rarely explicitly used in modern integrated circuits However, a MOS transistor contains at least two reverse biased

More information

Basic Fabrication Steps

Basic Fabrication Steps Basic Fabrication Steps and Layout Somayyeh Koohi Department of Computer Engineering Adapted with modifications from lecture notes prepared by author Outline Fabrication steps Transistor structures Transistor

More information

Layout Consideration and Circuit Solution to Prevent EOS Failure Induced by Latchup Test in A High-Voltage Integrated Circuits

Layout Consideration and Circuit Solution to Prevent EOS Failure Induced by Latchup Test in A High-Voltage Integrated Circuits Final Manuscript to Transactions on Device and Materials Reliability Layout Consideration and Circuit Solution to Prevent EOS Failure Induced by Latchup Test in A High-Voltage Integrated Circuits Hui-Wen

More information

Integrate-and-Fire Neuron Circuit and Synaptic Device with Floating Body MOSFETs

Integrate-and-Fire Neuron Circuit and Synaptic Device with Floating Body MOSFETs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.6, DECEMBER, 2014 http://dx.doi.org/10.5573/jsts.2014.14.6.755 Integrate-and-Fire Neuron Circuit and Synaptic Device with Floating Body MOSFETs

More information

Layout-based Modeling Methodology for Millimeter-Wave MOSFETs

Layout-based Modeling Methodology for Millimeter-Wave MOSFETs Layout-based Modeling Methodology for Millimeter-Wave MOSFETs Yan Wang Institute of Microelectronics, Tsinghua University, Beijing, P. R. China, 184 wangy46@tsinghua.edu.cn Outline of Presentation Motivation

More information

Chapter 1. Introduction

Chapter 1. Introduction EECS3611 Analog Integrated Circuit esign Chapter 1 Introduction EECS3611 Analog Integrated Circuit esign Instructor: Prof. Ebrahim Ghafar-Zadeh, Prof. Peter Lian email: egz@cse.yorku.ca peterlian@cse.yorku.ca

More information

ESD Protection Design with the Low-Leakage-Current Diode String for RF Circuits in BiCMOS SiGe Process

ESD Protection Design with the Low-Leakage-Current Diode String for RF Circuits in BiCMOS SiGe Process ESD Protection Design with the Low-Leakage-Current Diode String for F Circuits in BiCMOS SiGe Process Ming-Dou Ker and Woei-Lin Wu Nanoelectronics and Gigascale Systems Laboratory nstitute of Electronics,

More information

Contents. Contents... v. Preface... xiii. Chapter 1 Introduction...1. Chapter 2 Significant Physical Effects In Modern MOSFETs...

Contents. Contents... v. Preface... xiii. Chapter 1 Introduction...1. Chapter 2 Significant Physical Effects In Modern MOSFETs... Contents Contents... v Preface... xiii Chapter 1 Introduction...1 1.1 Compact MOSFET Modeling for Circuit Simulation...1 1.2 The Trends of Compact MOSFET Modeling...5 1.2.1 Modeling new physical effects...5

More information

Extraction of Eleven Model Parameters for Consistent Reproduction of Lateral Bipolar Snapback High-Current I V Characteristics in NMOS Devices

Extraction of Eleven Model Parameters for Consistent Reproduction of Lateral Bipolar Snapback High-Current I V Characteristics in NMOS Devices IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 48, NO. 6, JUNE 2001 1237 Extraction of Eleven Model Parameters for Consistent Reproduction of Lateral Bipolar Snapback High-Current I V Characteristics in NMOS

More information

Research Article Responsivity Enhanced NMOSFET Photodetector Fabricated by Standard CMOS Technology

Research Article Responsivity Enhanced NMOSFET Photodetector Fabricated by Standard CMOS Technology Advances in Condensed Matter Physics Volume 2015, Article ID 639769, 5 pages http://dx.doi.org/10.1155/2015/639769 Research Article Responsivity Enhanced NMOSFET Photodetector Fabricated by Standard CMOS

More information

Electronic Circuits II - Revision

Electronic Circuits II - Revision Electronic Circuits II - Revision -1 / 16 - T & F # 1 A bypass capacitor in a CE amplifier decreases the voltage gain. 2 If RC in a CE amplifier is increased, the voltage gain is reduced. 3 4 5 The load

More information

Modeling CDM Failures in High-Voltage Drain-Extended ESD Cells

Modeling CDM Failures in High-Voltage Drain-Extended ESD Cells Modeling CDM Failures in High-Voltage Drain-Extended ESD Cells Phil Hower (1), Greg Collins (), Partha Chakraborty () (1) Texas Instruments, Manchester, NH 03101, USA e-mail: phil_hower@ti.com () Texas

More information

Analog IC Design. Lecture 1,2: Introduction & MOS transistors. Henrik Sjöland. Dept. of Electrical and Information Technology

Analog IC Design. Lecture 1,2: Introduction & MOS transistors. Henrik Sjöland. Dept. of Electrical and Information Technology Analog IC Design Lecture 1,2: Introduction & MOS transistors Henrik.Sjoland@eit.lth.se Part 1: Introduction Analogue IC Design (7.5hp, lp2) CMOS Technology Analog building blocks in CMOS Single- and multiple

More information

Difference between BJTs and FETs. Junction Field Effect Transistors (JFET)

Difference between BJTs and FETs. Junction Field Effect Transistors (JFET) Difference between BJTs and FETs Transistors can be categorized according to their structure, and two of the more commonly known transistor structures, are the BJT and FET. The comparison between BJTs

More information

Week 7: Common-Collector Amplifier, MOS Field Effect Transistor

Week 7: Common-Collector Amplifier, MOS Field Effect Transistor EE 2110A Electronic Circuits Week 7: Common-Collector Amplifier, MOS Field Effect Transistor ecture 07-1 Topics to coer Common-Collector Amplifier MOS Field Effect Transistor Physical Operation and I-V

More information

Kathy Wood 3/23/2007. ESD Sensitivity of TriQuint Texas Processes and Circuit Components

Kathy Wood 3/23/2007. ESD Sensitivity of TriQuint Texas Processes and Circuit Components ESD Sensitivity of TriQuint Texas Processes and Circuit Components GaAs semiconductor devices have a high sensitivity to Electrostatic Discharge (ESD) and care must be taken to prevent damage. This document

More information

HBM Failure Diagnosis on a High-Frequency Analog Design with Full-chip Dynamic ESD Simulation

HBM Failure Diagnosis on a High-Frequency Analog Design with Full-chip Dynamic ESD Simulation HBM Failure Diagnosis on a High-Frequency Analog Design with Full-chip Dynamic ESD Simulation Paul Tong, Anna Tam, Ping Ping Xu, KS Lin, John Hui Norman Chang*, Bo Hu*, Karthik Srinivasan*, Margaret Schmitt*

More information

UNIT 3 Transistors JFET

UNIT 3 Transistors JFET UNIT 3 Transistors JFET Mosfet Definition of BJT A bipolar junction transistor is a three terminal semiconductor device consisting of two p-n junctions which is able to amplify or magnify a signal. It

More information

Degradation mechanisms in gate-all-around silicon Nanowire field effect transistor under electrostatic discharge stress a modeling approach

Degradation mechanisms in gate-all-around silicon Nanowire field effect transistor under electrostatic discharge stress a modeling approach Tan and Chen Nano Convergence 2014, 1:11 RESEARCH Degradation mechanisms in gate-all-around silicon Nanowire field effect transistor under electrostatic discharge stress a modeling approach Cher Ming Tan

More information

Higher School of Economics, Moscow, Russia. Zelenograd, Moscow, Russia

Higher School of Economics, Moscow, Russia. Zelenograd, Moscow, Russia Advanced Materials Research Online: 2013-07-31 ISSN: 1662-8985, Vols. 718-720, pp 750-755 doi:10.4028/www.scientific.net/amr.718-720.750 2013 Trans Tech Publications, Switzerland Hardware-Software Subsystem

More information

Fundamentals of Power Semiconductor Devices

Fundamentals of Power Semiconductor Devices В. Jayant Baliga Fundamentals of Power Semiconductor Devices 4y Spri ringer Contents Preface vii Chapter 1 Introduction 1 1.1 Ideal and Typical Power Switching Waveforms 3 1.2 Ideal and Typical Power Device

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

Comparison of the New VBIC and Conventional Gummel Poon Bipolar Transistor Models

Comparison of the New VBIC and Conventional Gummel Poon Bipolar Transistor Models IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 47, NO. 2, FEBRUARY 2000 427 Comparison of the New VBIC and Conventional Gummel Poon Bipolar Transistor Models Xiaochong Cao, J. McMacken, K. Stiles, P. Layman,

More information

Low-voltage high dynamic range CMOS exponential function generator

Low-voltage high dynamic range CMOS exponential function generator Applied mathematics in Engineering, Management and Technology 3() 015:50-56 Low-voltage high dynamic range CMOS exponential function generator Behzad Ghanavati Department of Electrical Engineering, College

More information

Design and Implementation of less quiescent current, less dropout LDO Regulator in 90nm Technology Madhukumar A S #1, M.

Design and Implementation of less quiescent current, less dropout LDO Regulator in 90nm Technology Madhukumar A S #1, M. Design and Implementation of less quiescent current, less dropout LDO Regulator in 90nm Technology Madhukumar A S #1, M.Nagabhushan #2 #1 M.Tech student, Dept. of ECE. M.S.R.I.T, Bangalore, INDIA #2 Asst.

More information

IN THE high power isolated dc/dc applications, full bridge

IN THE high power isolated dc/dc applications, full bridge 354 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 21, NO. 2, MARCH 2006 A Novel Zero-Current-Transition Full Bridge DC/DC Converter Junming Zhang, Xiaogao Xie, Xinke Wu, Guoliang Wu, and Zhaoming Qian,

More information

TECHNOLOGY road map and strategic planning of future

TECHNOLOGY road map and strategic planning of future IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 44, NO. 11, NOVEMBER 1997 1951 Predicting CMOS Speed with Gate Oxide and Voltage Scaling and Interconnect Loading Effects Kai Chen, Member, IEEE, Chenming Hu,

More information

UNIT-VI FIELD EFFECT TRANSISTOR. 1. Explain about the Field Effect Transistor and also mention types of FET s.

UNIT-VI FIELD EFFECT TRANSISTOR. 1. Explain about the Field Effect Transistor and also mention types of FET s. UNIT-I FIELD EFFECT TRANSISTOR 1. Explain about the Field Effect Transistor and also mention types of FET s. The Field Effect Transistor, or simply FET however, uses the voltage that is applied to their

More information

Section 2.3 Bipolar junction transistors - BJTs

Section 2.3 Bipolar junction transistors - BJTs Section 2.3 Bipolar junction transistors - BJTs Single junction devices, such as p-n and Schottkty diodes can be used to obtain rectifying I-V characteristics, and to form electronic switching circuits

More information

Fundamentals of Microelectronics

Fundamentals of Microelectronics Fundamentals of Microelectronics CH1 Why Microelectronics? CH2 Basic Physics of Semiconductors CH3 Diode Circuits CH4 Physics of Bipolar Transistors CH5 Bipolar Amplifiers CH6 Physics of MOS Transistors

More information

Operational Amplifiers

Operational Amplifiers CHAPTER 9 Operational Amplifiers Analog IC Analysis and Design 9- Chih-Cheng Hsieh Outline. General Consideration. One-Stage Op Amps / Two-Stage Op Amps 3. Gain Boosting 4. Common-Mode Feedback 5. Input

More information

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR Janusz A. Starzyk and Ying-Wei Jan Electrical Engineering and Computer Science, Ohio University, Athens Ohio, 45701 A designated contact person Prof.

More information

Insulated Gate Bipolar Transistor (IGBT)

Insulated Gate Bipolar Transistor (IGBT) nsulated Gate Bipolar Transistor (GBT) Comparison between BJT and MOS power devices: BJT MOS pros cons pros cons low V O thermal instability thermal stability high R O at V MAX > 400 V high C current complex

More information

Power MOSFET Zheng Yang (ERF 3017,

Power MOSFET Zheng Yang (ERF 3017, ECE442 Power Semiconductor Devices and Integrated Circuits Power MOSFET Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Evolution of low-voltage (

More information

Chapter 8. Field Effect Transistor

Chapter 8. Field Effect Transistor Chapter 8. Field Effect Transistor Field Effect Transistor: The field effect transistor is a semiconductor device, which depends for its operation on the control of current by an electric field. There

More information

Lecture 4 -- Tuesday, Sept. 19: Non-uniform injection and/or doping. Diffusion. Continuity/conservation. The five basic equations.

Lecture 4 -- Tuesday, Sept. 19: Non-uniform injection and/or doping. Diffusion. Continuity/conservation. The five basic equations. 6.012 ELECTRONIC DEVICES AND CIRCUITS Schedule -- Fall 1995 (8/31/95 version) Recitation 1 -- Wednesday, Sept. 6: Review of 6.002 models for BJT. Discussion of models and modeling; motivate need to go

More information

BLUETOOTH devices operate in the MHz

BLUETOOTH devices operate in the MHz INTERNATIONAL JOURNAL OF DESIGN, ANALYSIS AND TOOLS FOR CIRCUITS AND SYSTEMS, VOL. 1, NO. 1, JUNE 2011 22 A Novel VSWR-Protected and Controllable CMOS Class E Power Amplifier for Bluetooth Applications

More information